FEATURE: evr320 filter input address settable
DOC: Correct address map for pulse length & delay
This commit is contained in:
BIN
doc/evr320.pdf
BIN
doc/evr320.pdf
Binary file not shown.
319
doc/evr320.rtf
319
doc/evr320.rtf
@ -1,7 +1,7 @@
|
||||
{\rtf1\adeflang1025\ansi\ansicpg1252\uc1\adeff0\deff0\stshfdbch0\stshfloch0\stshfhich0\stshfbi0\deflang2055\deflangfe2055\themelang2055\themelangfe0\themelangcs0{\fonttbl{\f0\fbidi \froman\fcharset0\fprq2{\*\panose 02020603050405020304}Times New Roman;}
|
||||
{\f1\fbidi \fswiss\fcharset0\fprq2{\*\panose 020b0604020202020204}Arial{\*\falt Arial};}{\f2\fbidi \fmodern\fcharset0\fprq1{\*\panose 02070309020205020404}Courier New;}{\f3\fbidi \froman\fcharset2\fprq2{\*\panose 05050102010706020507}Symbol;}
|
||||
{\f10\fbidi \fnil\fcharset2\fprq2{\*\panose 05000000000000000000}Wingdings;}{\f34\fbidi \froman\fcharset0\fprq2{\*\panose 02040503050406030204}Cambria Math;}{\f39\fbidi \fswiss\fcharset0\fprq2{\*\panose 020f0502020204030204}Calibri;}
|
||||
{\f41\fbidi \fswiss\fcharset0\fprq2{\*\panose 00000000000000000000}Tahoma;}{\flomajor\f31500\fbidi \froman\fcharset0\fprq2{\*\panose 02020603050405020304}Times New Roman;}
|
||||
{\f41\fbidi \fswiss\fcharset0\fprq2{\*\panose 020b0604030504040204}Tahoma;}{\flomajor\f31500\fbidi \froman\fcharset0\fprq2{\*\panose 02020603050405020304}Times New Roman;}
|
||||
{\fdbmajor\f31501\fbidi \froman\fcharset0\fprq2{\*\panose 02020603050405020304}Times New Roman;}{\fhimajor\f31502\fbidi \froman\fcharset0\fprq2{\*\panose 00000000000000000000}Cambria;}
|
||||
{\fbimajor\f31503\fbidi \froman\fcharset0\fprq2{\*\panose 02020603050405020304}Times New Roman;}{\flominor\f31504\fbidi \froman\fcharset0\fprq2{\*\panose 02020603050405020304}Times New Roman;}
|
||||
{\fdbminor\f31505\fbidi \froman\fcharset0\fprq2{\*\panose 02020603050405020304}Times New Roman;}{\fhiminor\f31506\fbidi \fswiss\fcharset0\fprq2{\*\panose 020f0502020204030204}Calibri;}
|
||||
@ -287,48 +287,48 @@ table of figures;}{\*\ts38\tsrowd\trbrdrt\brdrs\brdrw10 \trbrdrl\brdrs\brdrw10 \
|
||||
\listoverridecount0\ls11}{\listoverride\listid1609459361\listoverridecount0\ls12}{\listoverride\listid1965959929\listoverridecount0\ls13}{\listoverride\listid1403257784\listoverridecount0\ls14}{\listoverride\listid1556575779\listoverridecount0\ls15}
|
||||
{\listoverride\listid1469085006\listoverridecount0\ls16}{\listoverride\listid731586984\listoverridecount0\ls17}{\listoverride\listid1572696456\listoverridecount0\ls18}{\listoverride\listid2032298055\listoverridecount0\ls19}{\listoverride\listid200822488
|
||||
\listoverridecount0\ls20}{\listoverride\listid1049038931\listoverridecount0\ls21}}{\*\rsidtbl \rsid11236\rsid26799\rsid29005\rsid84444\rsid134037\rsid162554\rsid163404\rsid271225\rsid288001\rsid289312\rsid291705\rsid293206\rsid341191\rsid343919\rsid351194
|
||||
\rsid401792\rsid406485\rsid547767\rsid599118\rsid610576\rsid684295\rsid728439\rsid744841\rsid746491\rsid790187\rsid790712\rsid949855\rsid1068966\rsid1070794\rsid1077619\rsid1080138\rsid1118949\rsid1132253\rsid1179874\rsid1190460\rsid1197872\rsid1245398
|
||||
\rsid1318649\rsid1322679\rsid1327452\rsid1337969\rsid1387917\rsid1399806\rsid1406665\rsid1456970\rsid1462168\rsid1467064\rsid1525969\rsid1579422\rsid1581680\rsid1704004\rsid1707367\rsid1720117\rsid1724063\rsid1783622\rsid1835706\rsid1837250\rsid1932540
|
||||
\rsid1970478\rsid2055858\rsid2098082\rsid2102413\rsid2169354\rsid2382126\rsid2391520\rsid2434894\rsid2436130\rsid2451219\rsid2494920\rsid2500597\rsid2559523\rsid2647470\rsid2651194\rsid2703831\rsid2718579\rsid2779605\rsid2821231\rsid2828383\rsid2841465
|
||||
\rsid2911789\rsid2962174\rsid3034684\rsid3107472\rsid3148524\rsid3152028\rsid3155984\rsid3156081\rsid3170217\rsid3175879\rsid3284425\rsid3306584\rsid3372631\rsid3413215\rsid3427843\rsid3491720\rsid3504624\rsid3545012\rsid3555919\rsid3559625\rsid3605991
|
||||
\rsid3693123\rsid3736292\rsid3751061\rsid3831351\rsid3882347\rsid3935383\rsid3943538\rsid4010524\rsid4012329\rsid4021294\rsid4026614\rsid4071214\rsid4078239\rsid4079123\rsid4133394\rsid4144654\rsid4148220\rsid4151328\rsid4202380\rsid4210384\rsid4265188
|
||||
\rsid4276698\rsid4282772\rsid4333019\rsid4406265\rsid4412126\rsid4467530\rsid4468990\rsid4548293\rsid4658291\rsid4672858\rsid4718849\rsid4719886\rsid4807053\rsid4857393\rsid4923653\rsid4998484\rsid5068514\rsid5114634\rsid5119820\rsid5126398\rsid5134962
|
||||
\rsid5192650\rsid5198149\rsid5201124\rsid5201512\rsid5205036\rsid5209877\rsid5243763\rsid5336268\rsid5377394\rsid5381292\rsid5386903\rsid5397040\rsid5443946\rsid5445434\rsid5457871\rsid5463867\rsid5508852\rsid5585944\rsid5588101\rsid5647340\rsid5663523
|
||||
\rsid5715931\rsid5774399\rsid5786507\rsid5836805\rsid5840731\rsid5907884\rsid5918932\rsid5968505\rsid5988537\rsid6035879\rsid6043489\rsid6119922\rsid6179323\rsid6226387\rsid6239035\rsid6239899\rsid6242036\rsid6303064\rsid6308335\rsid6315970\rsid6321612
|
||||
\rsid6362621\rsid6388345\rsid6423207\rsid6434522\rsid6451698\rsid6502398\rsid6570022\rsid6573307\rsid6622351\rsid6635330\rsid6685442\rsid6755593\rsid6781289\rsid6824078\rsid6951681\rsid6969217\rsid7029351\rsid7089143\rsid7093682\rsid7098663\rsid7099743
|
||||
\rsid7103512\rsid7171826\rsid7173615\rsid7239645\rsid7240420\rsid7276640\rsid7299068\rsid7343469\rsid7348666\rsid7357492\rsid7366963\rsid7409861\rsid7412346\rsid7471878\rsid7475423\rsid7476946\rsid7809169\rsid7878720\rsid7881601\rsid7895371\rsid7896080
|
||||
\rsid7934156\rsid7945844\rsid7997897\rsid8079047\rsid8143513\rsid8146377\rsid8149214\rsid8219607\rsid8262759\rsid8277287\rsid8401772\rsid8410105\rsid8456595\rsid8462491\rsid8462693\rsid8463113\rsid8520942\rsid8542632\rsid8547551\rsid8588276\rsid8597537
|
||||
\rsid8605368\rsid8606613\rsid8653620\rsid8654396\rsid8670004\rsid8718715\rsid8739334\rsid8791981\rsid8808637\rsid8923666\rsid8991017\rsid8999869\rsid9061158\rsid9133498\rsid9137770\rsid9182784\rsid9197198\rsid9199761\rsid9205456\rsid9246380\rsid9247323
|
||||
\rsid9252091\rsid9328151\rsid9336991\rsid9401654\rsid9450274\rsid9451348\rsid9460272\rsid9516727\rsid9531209\rsid9581682\rsid9595520\rsid9639645\rsid9649936\rsid9705258\rsid9722452\rsid9725565\rsid9725785\rsid9779814\rsid9830515\rsid9833168\rsid9848127
|
||||
\rsid9923130\rsid9964385\rsid9965608\rsid9967975\rsid9984582\rsid9992673\rsid10050298\rsid10058339\rsid10168373\rsid10170256\rsid10190239\rsid10251745\rsid10295626\rsid10307378\rsid10365344\rsid10365986\rsid10429102\rsid10434522\rsid10486331\rsid10491965
|
||||
\rsid10553778\rsid10576385\rsid10618017\rsid10638044\rsid10683073\rsid10755879\rsid10780005\rsid10822681\rsid10949363\rsid10950150\rsid10950362\rsid10966470\rsid10970683\rsid11038322\rsid11042654\rsid11078869\rsid11089896\rsid11099494\rsid11148532
|
||||
\rsid11215205\rsid11223363\rsid11234149\rsid11288436\rsid11338503\rsid11418934\rsid11420113\rsid11424166\rsid11433219\rsid11480777\rsid11490503\rsid11495610\rsid11537054\rsid11540212\rsid11563339\rsid11618816\rsid11732825\rsid11800187\rsid11814951
|
||||
\rsid11825533\rsid11826338\rsid11892192\rsid11935769\rsid12008333\rsid12020779\rsid12066036\rsid12125198\rsid12190247\rsid12267421\rsid12282788\rsid12336766\rsid12392599\rsid12406309\rsid12409788\rsid12456819\rsid12473351\rsid12482414\rsid12483801
|
||||
\rsid12528982\rsid12583057\rsid12607075\rsid12649438\rsid12653055\rsid12656631\rsid12734946\rsid12737179\rsid12744286\rsid12782282\rsid12800062\rsid12809905\rsid12848071\rsid12848876\rsid12870324\rsid12872060\rsid12912500\rsid12980193\rsid12995451
|
||||
\rsid12999077\rsid13000473\rsid13045994\rsid13060303\rsid13062840\rsid13139234\rsid13199784\rsid13202014\rsid13309041\rsid13313386\rsid13328727\rsid13399737\rsid13401597\rsid13508689\rsid13514797\rsid13523422\rsid13577782\rsid13585824\rsid13598376
|
||||
\rsid13638377\rsid13644376\rsid13648940\rsid13700305\rsid13795093\rsid13829877\rsid13834695\rsid13903402\rsid13923096\rsid13959555\rsid14024781\rsid14029143\rsid14049374\rsid14053142\rsid14187339\rsid14226740\rsid14236841\rsid14249151\rsid14254064
|
||||
\rsid14296529\rsid14303830\rsid14315509\rsid14372872\rsid14430642\rsid14432889\rsid14508574\rsid14615429\rsid14695709\rsid14703250\rsid14708998\rsid14751388\rsid14821780\rsid14904037\rsid14959138\rsid14973324\rsid15090658\rsid15149132\rsid15162238
|
||||
\rsid15217460\rsid15231693\rsid15302045\rsid15349767\rsid15351044\rsid15403527\rsid15471009\rsid15482984\rsid15538755\rsid15550990\rsid15629591\rsid15665473\rsid15672281\rsid15672973\rsid15688145\rsid15695594\rsid15743997\rsid15754810\rsid15815420
|
||||
\rsid15817907\rsid15863853\rsid15870244\rsid15938358\rsid16013188\rsid16017405\rsid16074882\rsid16079652\rsid16125759\rsid16130205\rsid16144725\rsid16150966\rsid16151300\rsid16152601\rsid16154318\rsid16190216\rsid16191828\rsid16203416\rsid16213313
|
||||
\rsid16255207\rsid16272424\rsid16346976\rsid16384866\rsid16396348\rsid16404155\rsid16407788\rsid16414784\rsid16451808\rsid16460639\rsid16471645\rsid16527214\rsid16587482\rsid16607927\rsid16655405\rsid16666633\rsid16666774}{\mmathPr\mmathFont34\mbrkBin0
|
||||
\mbrkBinSub0\msmallFrac0\mdispDef1\mlMargin0\mrMargin0\mdefJc1\mwrapIndent1440\mintLim0\mnaryLim1}{\info{\title XFEL}{\author TypeYourUsername}{\operator Stef Benoit}{\creatim\yr2010\mo4\dy9\hr14\min51}{\revtim\yr2019\mo11\dy29\hr14\min41}
|
||||
{\printim\yr2019\mo11\dy29\hr14\min40}{\version165}{\edmins0}{\nofpages14}{\nofwords2294}{\nofchars14453}{\*\company Paul Scherrer Institut}{\nofcharsws16714}{\vern99}}{\*\xmlnstbl {\xmlns1 http://schemas.microsoft.com/office/word/2003/wordml}}
|
||||
\paperw11907\paperh16840\margl851\margr851\margt1701\margb1134\gutter0\ltrsect
|
||||
\rsid401792\rsid406485\rsid547767\rsid599118\rsid610576\rsid684295\rsid728439\rsid744841\rsid746491\rsid790187\rsid790712\rsid949855\rsid1010002\rsid1068966\rsid1070794\rsid1077619\rsid1080138\rsid1118949\rsid1132253\rsid1179874\rsid1190460\rsid1197872
|
||||
\rsid1245398\rsid1318649\rsid1322679\rsid1327452\rsid1337969\rsid1387917\rsid1399806\rsid1406665\rsid1456970\rsid1462168\rsid1467064\rsid1525969\rsid1579422\rsid1581680\rsid1704004\rsid1707367\rsid1720117\rsid1724063\rsid1783622\rsid1835706\rsid1837250
|
||||
\rsid1932540\rsid1970478\rsid2055858\rsid2098082\rsid2102413\rsid2169354\rsid2382126\rsid2391520\rsid2434894\rsid2436130\rsid2451219\rsid2494920\rsid2500597\rsid2559523\rsid2647470\rsid2651194\rsid2703831\rsid2718579\rsid2779605\rsid2821231\rsid2828383
|
||||
\rsid2841465\rsid2911789\rsid2962174\rsid3034684\rsid3107472\rsid3148524\rsid3152028\rsid3155984\rsid3156081\rsid3170217\rsid3175879\rsid3284425\rsid3306584\rsid3372631\rsid3413215\rsid3427843\rsid3491720\rsid3504624\rsid3545012\rsid3555919\rsid3559625
|
||||
\rsid3605991\rsid3693123\rsid3736292\rsid3751061\rsid3831351\rsid3882347\rsid3935383\rsid3943538\rsid4010524\rsid4012329\rsid4021294\rsid4026614\rsid4071214\rsid4078239\rsid4079123\rsid4133394\rsid4144654\rsid4148220\rsid4151328\rsid4202380\rsid4210384
|
||||
\rsid4265188\rsid4276698\rsid4282772\rsid4333019\rsid4406265\rsid4412126\rsid4467530\rsid4468990\rsid4548293\rsid4658291\rsid4672858\rsid4718849\rsid4719886\rsid4807053\rsid4857393\rsid4923653\rsid4998484\rsid5068514\rsid5114634\rsid5119820\rsid5126398
|
||||
\rsid5134962\rsid5192650\rsid5198149\rsid5201124\rsid5201512\rsid5205036\rsid5209877\rsid5243763\rsid5336268\rsid5377394\rsid5381292\rsid5386903\rsid5397040\rsid5443946\rsid5445434\rsid5457871\rsid5463867\rsid5508852\rsid5585944\rsid5588101\rsid5647340
|
||||
\rsid5663523\rsid5715931\rsid5774399\rsid5786507\rsid5836805\rsid5840731\rsid5907884\rsid5918932\rsid5968505\rsid5988537\rsid6035879\rsid6043489\rsid6119922\rsid6179323\rsid6226387\rsid6239035\rsid6239899\rsid6242036\rsid6303064\rsid6308335\rsid6315970
|
||||
\rsid6321612\rsid6362621\rsid6388345\rsid6423207\rsid6434522\rsid6451698\rsid6502398\rsid6570022\rsid6573307\rsid6622351\rsid6635330\rsid6685442\rsid6755593\rsid6781289\rsid6824078\rsid6951681\rsid6969217\rsid7029351\rsid7089143\rsid7093682\rsid7098663
|
||||
\rsid7099743\rsid7103512\rsid7171826\rsid7173615\rsid7239645\rsid7240420\rsid7276640\rsid7299068\rsid7343469\rsid7348666\rsid7357492\rsid7366963\rsid7409861\rsid7412346\rsid7471878\rsid7475423\rsid7476946\rsid7809169\rsid7878720\rsid7881601\rsid7895371
|
||||
\rsid7896080\rsid7934156\rsid7945844\rsid7997897\rsid8079047\rsid8143513\rsid8146377\rsid8149214\rsid8219607\rsid8262759\rsid8277287\rsid8401772\rsid8410105\rsid8456595\rsid8462491\rsid8462693\rsid8463113\rsid8520942\rsid8542632\rsid8547551\rsid8588276
|
||||
\rsid8597537\rsid8605368\rsid8606613\rsid8653620\rsid8654396\rsid8670004\rsid8718715\rsid8739334\rsid8791981\rsid8808637\rsid8923666\rsid8991017\rsid8999869\rsid9061158\rsid9133498\rsid9137770\rsid9182784\rsid9197198\rsid9199761\rsid9205456\rsid9246380
|
||||
\rsid9247323\rsid9252091\rsid9328151\rsid9336991\rsid9401654\rsid9450274\rsid9451348\rsid9460272\rsid9516727\rsid9531209\rsid9581682\rsid9595520\rsid9639645\rsid9649936\rsid9705258\rsid9722452\rsid9725565\rsid9725785\rsid9779814\rsid9830515\rsid9833168
|
||||
\rsid9848127\rsid9923130\rsid9964385\rsid9965608\rsid9967975\rsid9984582\rsid9992673\rsid10050298\rsid10058339\rsid10168373\rsid10170256\rsid10190239\rsid10251745\rsid10295626\rsid10307378\rsid10365344\rsid10365986\rsid10429102\rsid10434522\rsid10486331
|
||||
\rsid10491965\rsid10553778\rsid10576385\rsid10618017\rsid10638044\rsid10683073\rsid10755879\rsid10780005\rsid10822681\rsid10949363\rsid10950150\rsid10950362\rsid10966470\rsid10970683\rsid11038322\rsid11042654\rsid11078869\rsid11089896\rsid11099494
|
||||
\rsid11148532\rsid11215205\rsid11223363\rsid11234149\rsid11288436\rsid11338503\rsid11418934\rsid11420113\rsid11424166\rsid11433219\rsid11480777\rsid11490503\rsid11495610\rsid11537054\rsid11540212\rsid11563339\rsid11618816\rsid11732825\rsid11800187
|
||||
\rsid11814951\rsid11825533\rsid11826338\rsid11892192\rsid11935769\rsid12008333\rsid12020779\rsid12066036\rsid12125198\rsid12190247\rsid12267421\rsid12282788\rsid12336766\rsid12392599\rsid12406309\rsid12409788\rsid12456819\rsid12473351\rsid12482414
|
||||
\rsid12483801\rsid12528982\rsid12583057\rsid12607075\rsid12649438\rsid12653055\rsid12656631\rsid12715842\rsid12734946\rsid12737179\rsid12744286\rsid12782282\rsid12800062\rsid12809905\rsid12848071\rsid12848876\rsid12870324\rsid12872060\rsid12912500
|
||||
\rsid12980193\rsid12995451\rsid12999077\rsid13000473\rsid13045994\rsid13060303\rsid13062840\rsid13139234\rsid13199784\rsid13202014\rsid13309041\rsid13313386\rsid13328727\rsid13399737\rsid13401597\rsid13508689\rsid13514797\rsid13523422\rsid13577782
|
||||
\rsid13585824\rsid13598376\rsid13638377\rsid13644376\rsid13648940\rsid13700305\rsid13795093\rsid13829877\rsid13834695\rsid13903402\rsid13923096\rsid13959555\rsid14024781\rsid14029143\rsid14049374\rsid14053142\rsid14187339\rsid14226740\rsid14236841
|
||||
\rsid14249151\rsid14254064\rsid14296529\rsid14303830\rsid14315509\rsid14372872\rsid14430642\rsid14432889\rsid14508574\rsid14615429\rsid14695709\rsid14703250\rsid14708998\rsid14751388\rsid14821780\rsid14904037\rsid14959138\rsid14973324\rsid15090658
|
||||
\rsid15149132\rsid15162238\rsid15217460\rsid15231693\rsid15302045\rsid15349767\rsid15351044\rsid15403527\rsid15471009\rsid15482984\rsid15538755\rsid15550990\rsid15629591\rsid15665473\rsid15672281\rsid15672973\rsid15688145\rsid15695594\rsid15743997
|
||||
\rsid15754810\rsid15815420\rsid15817907\rsid15863853\rsid15870244\rsid15938358\rsid16013188\rsid16017405\rsid16074882\rsid16079652\rsid16125759\rsid16130205\rsid16144725\rsid16150966\rsid16151300\rsid16152601\rsid16154318\rsid16190216\rsid16191828
|
||||
\rsid16203416\rsid16213313\rsid16255207\rsid16272424\rsid16346976\rsid16384866\rsid16396348\rsid16404155\rsid16407788\rsid16414784\rsid16451808\rsid16460639\rsid16471645\rsid16527214\rsid16587482\rsid16607927\rsid16655405\rsid16666633\rsid16666774}
|
||||
{\mmathPr\mmathFont34\mbrkBin0\mbrkBinSub0\msmallFrac0\mdispDef1\mlMargin0\mrMargin0\mdefJc1\mwrapIndent1440\mintLim0\mnaryLim1}{\info{\title XFEL}{\author TypeYourUsername}{\operator Stef Benoit}{\creatim\yr2010\mo4\dy9\hr14\min51}
|
||||
{\revtim\yr2020\mo1\dy23\hr7\min23}{\printim\yr2019\mo11\dy29\hr14\min40}{\version166}{\edmins0}{\nofpages14}{\nofwords2293}{\nofchars14451}{\*\company Paul Scherrer Institut}{\nofcharsws16711}{\vern99}}{\*\xmlnstbl {\xmlns1 http://schemas.microsoft.com/o
|
||||
ffice/word/2003/wordml}}\paperw11907\paperh16840\margl851\margr851\margt1701\margb1134\gutter0\ltrsect
|
||||
\deftab567\widowctrl\ftnbj\aenddoc\hyphhotz425\trackmoves0\trackformatting1\donotembedsysfont0\relyonvml0\donotembedlingdata1\grfdocevents0\validatexml0\showplaceholdtext0\ignoremixedcontent0\saveinvalidxml0
|
||||
\showxmlerrors0\noextrasprl\prcolbl\cvmme\sprsspbf\brkfrm\swpbdr\noxlattoyen\expshrtn\noultrlspc\dntblnsbdb\nospaceforul\hyphcaps0\horzdoc\fracwidth\dghspace120\dgvspace120\dghorigin1701\dgvorigin1984\dghshow1\dgvshow0
|
||||
\jexpand\viewkind1\viewscale130\pgbrdrhead\pgbrdrfoot\bdrrlswsix\nolnhtadjtbl\oldas\rsidroot13523422 \fet0{\*\wgrffmtfilter 013f}\ilfomacatclnup0{\*\template V:\\XP\\Rahmen PSI Hochformat.dot}{\*\ftnsep \ltrpar \pard\plain \ltrpar
|
||||
\qj \li0\ri0\widctlpar\wrapdefault\nooverflow\faroman\rin0\lin0\itap0 \rtlch\fcs1 \af0\afs20\alang1025 \ltrch\fcs0 \f1\fs24\lang2055\langfe1033\cgrid\langnp2055\langfenp1033 {\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid8462491 \chftnsep
|
||||
\jexpand\viewkind1\viewscale140\pgbrdrhead\pgbrdrfoot\bdrrlswsix\nolnhtadjtbl\oldas\rsidroot13523422 \fet0{\*\wgrffmtfilter 013f}\ilfomacatclnup0{\*\template V:\\XP\\Rahmen PSI Hochformat.dot}{\*\ftnsep \ltrpar \pard\plain \ltrpar
|
||||
\qj \li0\ri0\widctlpar\wrapdefault\nooverflow\faroman\rin0\lin0\itap0 \rtlch\fcs1 \af0\afs20\alang1025 \ltrch\fcs0 \f1\fs24\lang2055\langfe1033\cgrid\langnp2055\langfenp1033 {\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid1010002 \chftnsep
|
||||
\par }}{\*\ftnsepc \ltrpar \pard\plain \ltrpar\qj \li0\ri0\widctlpar\wrapdefault\nooverflow\faroman\rin0\lin0\itap0 \rtlch\fcs1 \af0\afs20\alang1025 \ltrch\fcs0 \f1\fs24\lang2055\langfe1033\cgrid\langnp2055\langfenp1033 {\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\insrsid8462491 \chftnsepc
|
||||
\insrsid1010002 \chftnsepc
|
||||
\par }}{\*\aftnsep \ltrpar \pard\plain \ltrpar\qj \li0\ri0\widctlpar\wrapdefault\nooverflow\faroman\rin0\lin0\itap0 \rtlch\fcs1 \af0\afs20\alang1025 \ltrch\fcs0 \f1\fs24\lang2055\langfe1033\cgrid\langnp2055\langfenp1033 {\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\insrsid8462491 \chftnsep
|
||||
\insrsid1010002 \chftnsep
|
||||
\par }}{\*\aftnsepc \ltrpar \pard\plain \ltrpar\qj \li0\ri0\widctlpar\wrapdefault\nooverflow\faroman\rin0\lin0\itap0 \rtlch\fcs1 \af0\afs20\alang1025 \ltrch\fcs0 \f1\fs24\lang2055\langfe1033\cgrid\langnp2055\langfenp1033 {\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\insrsid8462491 \chftnsepc
|
||||
\insrsid1010002 \chftnsepc
|
||||
\par }}\ltrpar \sectd \ltrsect\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj {\headerr \ltrpar \pard\plain \ltrpar\s24\ql \li0\ri0\widctlpar\wrapdefault\nooverflow\faroman\rin0\lin0\itap0 \rtlch\fcs1 \af0\afs20\alang1025
|
||||
\ltrch\fcs0 \f1\fs24\lang2055\langfe1033\cgrid\langnp2055\langfenp1033 {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\langfenp2055\insrsid8462491
|
||||
\ltrch\fcs0 \f1\fs24\lang2055\langfe1033\cgrid\langnp2055\langfenp1033 {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\langfenp2055\insrsid1010002
|
||||
{\shp{\*\shpinst\shpleft6407\shptop1191\shpright10149\shpbottom1192\shpfhdr1\shpbxmargin\shpbxignore\shpbypage\shpbyignore\shpwr3\shpwrk0\shpfblwtxt0\shpz0\shplid2049{\sp{\sn shapeType}{\sv 20}}{\sp{\sn fFlipH}{\sv 0}}{\sp{\sn fFlipV}{\sv 0}}
|
||||
{\sp{\sn lineColor}{\sv 16711680}}{\sp{\sn lineWidth}{\sv 25400}}{\sp{\sn lineStartArrowWidth}{\sv 0}}{\sp{\sn lineStartArrowLength}{\sv 0}}{\sp{\sn lineEndArrowWidth}{\sv 0}}{\sp{\sn lineEndArrowLength}{\sv 0}}
|
||||
{\sp{\sn fShadow}{\sv 0}}{\sp{\sn posrelh}{\sv 0}}{\sp{\sn posrelv}{\sv 1}}{\sp{\sn dhgt}{\sv 251658240}}{\sp{\sn fLayoutInCell}{\sv 0}}{\sp{\sn fLayoutInCell}{\sv 0}}}{\shprslt{\*\do\dobxmargin\dobypage\dodhgt8192\dpline\dpptx0\dppty0\dpptx3742\dppty1
|
||||
{\sp{\sn fShadow}{\sv 0}}{\sp{\sn posrelh}{\sv 0}}{\sp{\sn posrelv}{\sv 1}}{\sp{\sn dhgt}{\sv 251659264}}{\sp{\sn fLayoutInCell}{\sv 0}}{\sp{\sn fLayoutInCell}{\sv 0}}}{\shprslt{\*\do\dobxmargin\dobypage\dodhgt8192\dpline\dpptx0\dppty0\dpptx3742\dppty1
|
||||
\dpx6407\dpy1191\dpxsize3742\dpysize1\dplinew40\dplinecor0\dplinecog0\dplinecob255}}}}{\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid5381292 {\pict{\*\picprop\shplid1026{\sp{\sn shapeType}{\sv 75}}{\sp{\sn fFlipH}{\sv 0}}
|
||||
{\sp{\sn fFlipV}{\sv 0}}{\sp{\sn fLine}{\sv 0}}{\sp{\sn fLayoutInCell}{\sv 1}}{\sp{\sn fLayoutInCell}{\sv 1}}}\picscalex117\picscaley117\piccropl0\piccropr0\piccropt0\piccropb0
|
||||
\picw9379\pich780\picwgoal5317\pichgoal442\wmetafile8\bliptag-298999648\blipupi-364{\*\blipuid ee2da0a0f1b51469002d562570f27c73}
|
||||
@ -575,14 +575,14 @@ ad3bff03a63bfb03a03bf7039a3bf203943bed038f3be7038a3be103863bdb03833bd4037c3bc603
|
||||
6c3b46036c3b9a01bf3b9a01040000002d010200040000002d01030004000000f001040007000000fc020000000000000000040000002d010400040000002d01
|
||||
0100050000000601010000001600000024030900803e9a01803ee201233ee201233e0704d13d0704d13de201703de201703d9a01803e9a01040000002d010200040000002d01030004000000f0010400030000000000}}{\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid5381292
|
||||
\par }}{\footerr \ltrpar \pard\plain \ltrpar\s32\qj \li0\ri0\sb57\widctlpar\tqr\tx10206\wrapdefault\nooverflow\faroman\rin0\lin0\itap0 \rtlch\fcs1 \af0\afs20\alang1025 \ltrch\fcs0 \f1\fs24\lang2055\langfe1033\cgrid\langnp2055\langfenp1033 {\rtlch\fcs1 \af0
|
||||
\ltrch\fcs0 \lang1024\langfe1024\noproof\langfenp2055\insrsid8462491 {\shp{\*\shpinst\shpleft851\shptop16046\shpright11055\shpbottom16047\shpfhdr1\shpbxpage\shpbxignore\shpbypage\shpbyignore\shpwr3\shpwrk0\shpfblwtxt0\shpz1\shplid2050
|
||||
\ltrch\fcs0 \lang1024\langfe1024\noproof\langfenp2055\insrsid1010002 {\shp{\*\shpinst\shpleft851\shptop16046\shpright11055\shpbottom16047\shpfhdr1\shpbxpage\shpbxignore\shpbypage\shpbyignore\shpwr3\shpwrk0\shpfblwtxt0\shpz1\shplid2050
|
||||
{\sp{\sn shapeType}{\sv 20}}{\sp{\sn fFlipH}{\sv 0}}{\sp{\sn fFlipV}{\sv 0}}{\sp{\sn lineColor}{\sv 16711680}}{\sp{\sn lineWidth}{\sv 19050}}{\sp{\sn lineStartArrowWidth}{\sv 0}}{\sp{\sn lineStartArrowLength}{\sv 0}}
|
||||
{\sp{\sn lineEndArrowWidth}{\sv 0}}{\sp{\sn lineEndArrowLength}{\sv 0}}{\sp{\sn fShadow}{\sv 0}}{\sp{\sn posrelh}{\sv 1}}{\sp{\sn posrelv}{\sv 1}}
|
||||
{\sp{\sn dhgt}{\sv 251660288}}{\sp{\sn fLayoutInCell}{\sv 0}}{\sp{\sn fLayoutInCell}{\sv 0}}}{\shprslt{\*\do\dobxpage\dobypage\dodhgt8193\dpline\dpptx0\dppty0\dpptx10204\dppty1\dpx851\dpy16046\dpxsize10204\dpysize1
|
||||
{\sp{\sn dhgt}{\sv 251661312}}{\sp{\sn fLayoutInCell}{\sv 0}}{\sp{\sn fLayoutInCell}{\sv 0}}}{\shprslt{\*\do\dobxpage\dobypage\dodhgt8193\dpline\dpptx0\dppty0\dpptx10204\dppty1\dpx851\dpy16046\dpxsize10204\dpysize1
|
||||
\dplinew30\dplinecor0\dplinecog0\dplinecob255}}}}{\rtlch\fcs1 \af0 \ltrch\fcs0 \fs18\lang1030\langfe1033\langnp1030\insrsid5381292\charrsid13834695 Paul Scherrer Institut \bullet 5232 Villigen PSI}{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\fs20\lang1030\langfe1033\langnp1030\insrsid5381292\charrsid13834695 \tab }{\field{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0 \fs16\lang1030\langfe1033\langnp1030\insrsid5381292\charrsid13834695 FILENAME \\* MERGEFORMAT }}{\fldrslt {\rtlch\fcs1 \af0
|
||||
\ltrch\fcs0 \fs16\lang1024\langfe1024\noproof\langnp1030\insrsid6321612 evr320.rtf}}}\sectd \ltrsect\linex0\endnhere\sectdefaultcl\sftnbj {\rtlch\fcs1 \af0 \ltrch\fcs0 \fs16\lang1030\langfe1033\langnp1030\insrsid5381292\charrsid13834695 / }
|
||||
{\field{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0 \fs16\insrsid5381292 DATE \\* MERGEFORMAT }}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \fs16\lang1024\langfe1024\noproof\insrsid6321612 29.11.2019}}}\sectd \ltrsect\linex0\endnhere\sectdefaultcl\sftnbj {
|
||||
{\field{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0 \fs16\insrsid5381292 DATE \\* MERGEFORMAT }}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \fs16\lang1024\langfe1024\noproof\insrsid12715842 23.01.2020}}}\sectd \ltrsect\linex0\endnhere\sectdefaultcl\sftnbj {
|
||||
\rtlch\fcs1 \af0 \ltrch\fcs0 \fs16\lang1030\langfe1033\langnp1030\insrsid5381292\charrsid13834695 / }{\rtlch\fcs1 \af0 \ltrch\fcs0 \fs16\lang1030\langfe1033\langnp1030\insrsid5381292 $Revision: 1.1 $}{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\fs20\lang1030\langfe1033\langnp1030\insrsid5381292\charrsid13834695
|
||||
\par }}{\*\pnseclvl1\pnucrm\pnstart1\pnindent720\pnhang {\pntxta .}}{\*\pnseclvl2\pnucltr\pnstart1\pnindent720\pnhang {\pntxta .}}{\*\pnseclvl3\pndec\pnstart1\pnindent720\pnhang {\pntxta .}}{\*\pnseclvl4\pnlcltr\pnstart1\pnindent720\pnhang {\pntxta )}}
|
||||
@ -630,198 +630,199 @@ ad3bff03a63bfb03a03bf7039a3bf203943bed038f3be7038a3be103863bdb03833bd4037c3bc603
|
||||
\par }\pard\plain \ltrpar\s34\qj \li0\ri0\widctlpar\tx641\tqr\tldot\tx10195\wrapdefault\nooverflow\faroman\rin0\lin0\itap0 \rtlch\fcs1 \af0\afs20\alang1025 \ltrch\fcs0 \f1\fs24\lang2055\langfe1033\cgrid\langnp2055\langfenp1033 {\field\fldedit{\*\fldinst {
|
||||
\rtlch\fcs1 \af0\afs24 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid16190216 TOC \\o "1-3" \\h \\z \\u }}{\fldrslt {\field\fldedit{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{
|
||||
\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\insrsid15162238 HYPERLINK \\l "_Toc25920282"}{\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 {\*\datafield 08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000320038003200000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 {\*\datafield 08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f0063003200350039003200300032003800320000000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 1}{\rtlch\fcs1 \af0\afs22 \ltrch\fcs0 \fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238 \tab }{\rtlch\fcs1 \af0
|
||||
\ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 Introduction}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid15162238 \tab }{\field{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\lang1024\langfe1024\noproof\webhidden\insrsid15162238 PAGEREF _Toc25920282 \\h }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f006300320035003900320030003200380032000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 3}}}\sectd \ltrsect
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000320038003200000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 3}}}\sectd \ltrsect
|
||||
\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj }}\sectd \ltrsect\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj {\rtlch\fcs1 \af0\afs22 \ltrch\fcs0
|
||||
\fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238
|
||||
\par }\pard\plain \ltrpar\s39\qj \li318\ri0\widctlpar\tx1200\tqr\tldot\tx10195\wrapdefault\nooverflow\faroman\rin0\lin318\itap0 \rtlch\fcs1 \af0\afs20\alang1025 \ltrch\fcs0 \f1\fs24\lang2055\langfe1033\cgrid\langnp2055\langfenp1033 {\field\fldedit{\*\fldinst {
|
||||
\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\insrsid15162238 HYPERLINK \\l "_Toc25920283"}{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000320038003300000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 1.1}{
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f0063003200350039003200300032003800330000000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 1.1}{
|
||||
\rtlch\fcs1 \af0\afs22 \ltrch\fcs0 \fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238 \tab }{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 Features}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid15162238 \tab }{\field{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\lang1024\langfe1024\noproof\webhidden\insrsid15162238 PAGEREF _Toc25920283 \\h }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f006300320035003900320030003200380033000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 3}}}\sectd \ltrsect
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000320038003300000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 3}}}\sectd \ltrsect
|
||||
\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj }}\sectd \ltrsect\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj {\rtlch\fcs1 \af0\afs22 \ltrch\fcs0
|
||||
\fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238
|
||||
\par }{\field\fldedit{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\insrsid15162238 HYPERLINK \\l "_Toc25920284"}{\rtlch\fcs1 \af0
|
||||
\ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000320038003400000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 1.2}{
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f0063003200350039003200300032003800340000000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 1.2}{
|
||||
\rtlch\fcs1 \af0\afs22 \ltrch\fcs0 \fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238 \tab }{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 Definitions, acronyms, and abbreviations}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid15162238 \tab }{\field{\*\fldinst {\rtlch\fcs1 \af0
|
||||
\ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid15162238 PAGEREF _Toc25920284 \\h }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f006300320035003900320030003200380034000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 3}}}\sectd \ltrsect
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f0063003200350039003200300032003800340000006c}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 3}}}\sectd \ltrsect
|
||||
\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj }}\sectd \ltrsect\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj {\rtlch\fcs1 \af0\afs22 \ltrch\fcs0
|
||||
\fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238
|
||||
\par }{\field\fldedit{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\insrsid15162238 HYPERLINK \\l "_Toc25920285"}{\rtlch\fcs1 \af0
|
||||
\ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000320038003500000068}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 1.3}{
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f0063003200350039003200300032003800350000006800}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 1.3}{
|
||||
\rtlch\fcs1 \af0\afs22 \ltrch\fcs0 \fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238 \tab }{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 References}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid15162238 \tab }{\field{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\lang1024\langfe1024\noproof\webhidden\insrsid15162238 PAGEREF _Toc25920285 \\h }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f006300320035003900320030003200380035000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 3}}}\sectd \ltrsect
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000320038003500000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 3}}}\sectd \ltrsect
|
||||
\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj }}\sectd \ltrsect\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj {\rtlch\fcs1 \af0\afs22 \ltrch\fcs0
|
||||
\fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238
|
||||
\par }{\field\fldedit{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\insrsid15162238 HYPERLINK \\l "_Toc25920286"}{\rtlch\fcs1 \af0
|
||||
\ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000320038003600000004}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 1.4}{
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f0063003200350039003200300032003800360000000474}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 1.4}{
|
||||
\rtlch\fcs1 \af0\afs22 \ltrch\fcs0 \fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238 \tab }{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 History}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid15162238 \tab }{\field{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\lang1024\langfe1024\noproof\webhidden\insrsid15162238 PAGEREF _Toc25920286 \\h }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f006300320035003900320030003200380036000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 4}}}\sectd \ltrsect
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000320038003600000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 4}}}\sectd \ltrsect
|
||||
\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj }}\sectd \ltrsect\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj {\rtlch\fcs1 \af0\afs22 \ltrch\fcs0
|
||||
\fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238
|
||||
\par }\pard\plain \ltrpar\s34\qj \li0\ri0\widctlpar\tx641\tqr\tldot\tx10195\wrapdefault\nooverflow\faroman\rin0\lin0\itap0 \rtlch\fcs1 \af0\afs20\alang1025 \ltrch\fcs0 \f1\fs24\lang2055\langfe1033\cgrid\langnp2055\langfenp1033 {\field\fldedit{\*\fldinst {
|
||||
\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\insrsid15162238 HYPERLINK \\l "_Toc25920287"}{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000320038003700000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 2}{\rtlch\fcs1
|
||||
\af0\afs22 \ltrch\fcs0 \fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238 \tab }{\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276
|
||||
Functional Description}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid15162238 \tab }{\field{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid15162238 PAGEREF _Toc25920287 \\h }{
|
||||
\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 {\*\datafield 08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f006300320035003900320030003200380037000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\lang1024\langfe1024\noproof\webhidden\insrsid6321612 5}}}\sectd \ltrsect\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj }}\sectd \ltrsect
|
||||
\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj {\rtlch\fcs1 \af0\afs22 \ltrch\fcs0 \fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f0063003200350039003200300032003800370000000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 2}{
|
||||
\rtlch\fcs1 \af0\afs22 \ltrch\fcs0 \fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238 \tab }{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 Functional Description}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid15162238 \tab }{\field{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\lang1024\langfe1024\noproof\webhidden\insrsid15162238 PAGEREF _Toc25920287 \\h }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000320038003700000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 5}}}\sectd \ltrsect
|
||||
\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj }}\sectd \ltrsect\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj {\rtlch\fcs1 \af0\afs22 \ltrch\fcs0
|
||||
\fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238
|
||||
\par }\pard\plain \ltrpar\s39\qj \li318\ri0\widctlpar\tx1200\tqr\tldot\tx10195\wrapdefault\nooverflow\faroman\rin0\lin318\itap0 \rtlch\fcs1 \af0\afs20\alang1025 \ltrch\fcs0 \f1\fs24\lang2055\langfe1033\cgrid\langnp2055\langfenp1033 {\field\fldedit{\*\fldinst {
|
||||
\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\insrsid15162238 HYPERLINK \\l "_Toc25920288"}{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000320038003800000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 2.1}{
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f0063003200350039003200300032003800380000000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 2.1}{
|
||||
\rtlch\fcs1 \af0\afs22 \ltrch\fcs0 \fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238 \tab }{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 Firmware Description}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid15162238 \tab }{\field{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\lang1024\langfe1024\noproof\webhidden\insrsid15162238 PAGEREF _Toc25920288 \\h }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f006300320035003900320030003200380038000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 5}}}\sectd \ltrsect
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000320038003800000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 5}}}\sectd \ltrsect
|
||||
\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj }}\sectd \ltrsect\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj {\rtlch\fcs1 \af0\afs22 \ltrch\fcs0
|
||||
\fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238
|
||||
\par }{\field\fldedit{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\insrsid15162238 HYPERLINK \\l "_Toc25920289"}{\rtlch\fcs1 \af0
|
||||
\ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000320038003900000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 2.2}{
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f006300320035003900320030003200380039000000004c}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 2.2}{
|
||||
\rtlch\fcs1 \af0\afs22 \ltrch\fcs0 \fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238 \tab }{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 Vivado IP Ports}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid15162238 \tab }{\field{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\lang1024\langfe1024\noproof\webhidden\insrsid15162238 PAGEREF _Toc25920289 \\h }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f006300320035003900320030003200380039000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 6}}}\sectd \ltrsect
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000320038003900000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 6}}}\sectd \ltrsect
|
||||
\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj }}\sectd \ltrsect\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj {\rtlch\fcs1 \af0\afs22 \ltrch\fcs0
|
||||
\fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238
|
||||
\par }{\field\fldedit{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\insrsid15162238 HYPERLINK \\l "_Toc25920290"}{\rtlch\fcs1 \af0
|
||||
\ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000320039003000000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 2.3}{
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f0063003200350039003200300032003900300000000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 2.3}{
|
||||
\rtlch\fcs1 \af0\afs22 \ltrch\fcs0 \fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238 \tab }{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 Configuration}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid15162238 \tab }{\field{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\lang1024\langfe1024\noproof\webhidden\insrsid15162238 PAGEREF _Toc25920290 \\h }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f006300320035003900320030003200390030000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 7}}}\sectd \ltrsect
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000320039003000000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 7}}}\sectd \ltrsect
|
||||
\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj }}\sectd \ltrsect\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj {\rtlch\fcs1 \af0\afs22 \ltrch\fcs0
|
||||
\fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238
|
||||
\par }\pard\plain \ltrpar\s40\qj \li641\ri0\widctlpar\tx1540\tqr\tldot\tx10195\wrapdefault\nooverflow\faroman\rin0\lin641\itap0 \rtlch\fcs1 \af0\afs20\alang1025 \ltrch\fcs0 \f1\fs24\lang2055\langfe1033\cgrid\langnp2055\langfenp1033 {\field\fldedit{\*\fldinst {
|
||||
\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\insrsid15162238 HYPERLINK \\l "_Toc25920291"}{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000320039003100000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 2.3.1}{
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f0063003200350039003200300032003900310000000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 2.3.1}{
|
||||
\rtlch\fcs1 \af0\afs22 \ltrch\fcs0 \fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238 \tab }{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 Control and Status Register Map:}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid15162238 \tab }{\field{\*\fldinst {\rtlch\fcs1 \af0
|
||||
\ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid15162238 PAGEREF _Toc25920291 \\h }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f006300320035003900320030003200390031000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 7}}}\sectd \ltrsect
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000320039003100000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 7}}}\sectd \ltrsect
|
||||
\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj }}\sectd \ltrsect\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj {\rtlch\fcs1 \af0\afs22 \ltrch\fcs0
|
||||
\fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238
|
||||
\par }{\field\fldedit{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\insrsid15162238 HYPERLINK \\l "_Toc25920292"}{\rtlch\fcs1 \af0
|
||||
\ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000320039003200000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 2.3.2}{
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f0063003200350039003200300032003900320000000004}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 2.3.2}{
|
||||
\rtlch\fcs1 \af0\afs22 \ltrch\fcs0 \fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238 \tab }{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 Segmented Data Buffer Map:}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid15162238 \tab }{\field{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\lang1024\langfe1024\noproof\webhidden\insrsid15162238 PAGEREF _Toc25920292 \\h }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f006300320035003900320030003200390032000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 9}}}\sectd \ltrsect
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000320039003200000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 9}}}\sectd \ltrsect
|
||||
\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj }}\sectd \ltrsect\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj {\rtlch\fcs1 \af0\afs22 \ltrch\fcs0
|
||||
\fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238
|
||||
\par }{\field\fldedit{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\insrsid15162238 HYPERLINK \\l "_Toc25920293"}{\rtlch\fcs1 \af0
|
||||
\ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000320039003300000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 2.3.3}{
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f0063003200350039003200300032003900330000000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 2.3.3}{
|
||||
\rtlch\fcs1 \af0\afs22 \ltrch\fcs0 \fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238 \tab }{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 Event Recorder Map:}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid15162238 \tab }{\field{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\lang1024\langfe1024\noproof\webhidden\insrsid15162238 PAGEREF _Toc25920293 \\h }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f006300320035003900320030003200390033000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 9}}}\sectd \ltrsect
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000320039003300000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 9}}}\sectd \ltrsect
|
||||
\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj }}\sectd \ltrsect\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj {\rtlch\fcs1 \af0\afs22 \ltrch\fcs0
|
||||
\fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238
|
||||
\par }\pard\plain \ltrpar\s39\qj \li318\ri0\widctlpar\tx1200\tqr\tldot\tx10195\wrapdefault\nooverflow\faroman\rin0\lin318\itap0 \rtlch\fcs1 \af0\afs20\alang1025 \ltrch\fcs0 \f1\fs24\lang2055\langfe1033\cgrid\langnp2055\langfenp1033 {\field\fldedit{\*\fldinst {
|
||||
\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\insrsid15162238 HYPERLINK \\l "_Toc25920294"}{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000320039003400000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 2.4}{
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f0063003200350039003200300032003900340000000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 2.4}{
|
||||
\rtlch\fcs1 \af0\afs22 \ltrch\fcs0 \fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238 \tab }{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 Event Recorder}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid15162238 \tab }{\field{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\lang1024\langfe1024\noproof\webhidden\insrsid15162238 PAGEREF _Toc25920294 \\h }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f006300320035003900320030003200390034000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 10}}}\sectd \ltrsect
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000320039003400000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 10}}}\sectd \ltrsect
|
||||
\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj }}\sectd \ltrsect\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj {\rtlch\fcs1 \af0\afs22 \ltrch\fcs0
|
||||
\fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238
|
||||
\par }\pard\plain \ltrpar\s40\qj \li641\ri0\widctlpar\tx1540\tqr\tldot\tx10195\wrapdefault\nooverflow\faroman\rin0\lin641\itap0 \rtlch\fcs1 \af0\afs20\alang1025 \ltrch\fcs0 \f1\fs24\lang2055\langfe1033\cgrid\langnp2055\langfenp1033 {\field\fldedit{\*\fldinst {
|
||||
\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\insrsid15162238 HYPERLINK \\l "_Toc25920295"}{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000320039003500000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 2.4.1}{
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f0063003200350039003200300032003900350000000005}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 2.4.1}{
|
||||
\rtlch\fcs1 \af0\afs22 \ltrch\fcs0 \fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238 \tab }{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 Data Readout}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid15162238 \tab }{\field{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\lang1024\langfe1024\noproof\webhidden\insrsid15162238 PAGEREF _Toc25920295 \\h }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f006300320035003900320030003200390035000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 10}}}\sectd \ltrsect
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000320039003500000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 10}}}\sectd \ltrsect
|
||||
\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj }}\sectd \ltrsect\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj {\rtlch\fcs1 \af0\afs22 \ltrch\fcs0
|
||||
\fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238
|
||||
\par }{\field\fldedit{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\insrsid15162238 HYPERLINK \\l "_Toc25920296"}{\rtlch\fcs1 \af0
|
||||
\ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000320039003600000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 2.4.2}{
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f0063003200350039003200300032003900360000000061}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 2.4.2}{
|
||||
\rtlch\fcs1 \af0\afs22 \ltrch\fcs0 \fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238 \tab }{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 Data Validation}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid15162238 \tab }{\field{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\lang1024\langfe1024\noproof\webhidden\insrsid15162238 PAGEREF _Toc25920296 \\h }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f006300320035003900320030003200390036000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 10}}}\sectd \ltrsect
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000320039003600000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 10}}}\sectd \ltrsect
|
||||
\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj }}\sectd \ltrsect\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj {\rtlch\fcs1 \af0\afs22 \ltrch\fcs0
|
||||
\fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238
|
||||
\par }\pard\plain \ltrpar\s39\qj \li318\ri0\widctlpar\tx1200\tqr\tldot\tx10195\wrapdefault\nooverflow\faroman\rin0\lin318\itap0 \rtlch\fcs1 \af0\afs20\alang1025 \ltrch\fcs0 \f1\fs24\lang2055\langfe1033\cgrid\langnp2055\langfenp1033 {\field\fldedit{\*\fldinst {
|
||||
\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\insrsid15162238 HYPERLINK \\l "_Toc25920297"}{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000320039003700000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 2.5}{
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f0063003200350039003200300032003900370000000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 2.5}{
|
||||
\rtlch\fcs1 \af0\afs22 \ltrch\fcs0 \fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238 \tab }{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 Design constraints}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid15162238 \tab }{\field{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\lang1024\langfe1024\noproof\webhidden\insrsid15162238 PAGEREF _Toc25920297 \\h }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f006300320035003900320030003200390037000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 11}}}\sectd \ltrsect
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000320039003700000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 11}}}\sectd \ltrsect
|
||||
\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj }}\sectd \ltrsect\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj {\rtlch\fcs1 \af0\afs22 \ltrch\fcs0
|
||||
\fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238
|
||||
\par }{\field\fldedit{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\insrsid15162238 HYPERLINK \\l "_Toc25920298"}{\rtlch\fcs1 \af0
|
||||
\ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000320039003800000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 2.6}{
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f0063003200350039003200300032003900380000000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 2.6}{
|
||||
\rtlch\fcs1 \af0\afs22 \ltrch\fcs0 \fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238 \tab }{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 Latency Measurement}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid15162238 \tab }{\field{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\lang1024\langfe1024\noproof\webhidden\insrsid15162238 PAGEREF _Toc25920298 \\h }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f006300320035003900320030003200390038000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 12}}}\sectd \ltrsect
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000320039003800000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 12}}}\sectd \ltrsect
|
||||
\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj }}\sectd \ltrsect\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj {\rtlch\fcs1 \af0\afs22 \ltrch\fcs0
|
||||
\fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238
|
||||
\par }{\field\fldedit{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\insrsid15162238 HYPERLINK \\l "_Toc25920299"}{\rtlch\fcs1 \af0
|
||||
\ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000320039003900000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 2.7}{
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f0063003200350039003200300032003900390000000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 2.7}{
|
||||
\rtlch\fcs1 \af0\afs22 \ltrch\fcs0 \fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238 \tab }{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 IFC1210 Wrapper}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid15162238 \tab }{\field{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\lang1024\langfe1024\noproof\webhidden\insrsid15162238 PAGEREF _Toc25920299 \\h }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f006300320035003900320030003200390039000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 13}}}\sectd \ltrsect
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f0063003200350039003200300032003900390000001e}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 13}}}\sectd \ltrsect
|
||||
\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj }}\sectd \ltrsect\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj {\rtlch\fcs1 \af0\afs22 \ltrch\fcs0
|
||||
\fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238
|
||||
\par }\pard\plain \ltrpar\s40\qj \li641\ri0\widctlpar\tx1540\tqr\tldot\tx10195\wrapdefault\nooverflow\faroman\rin0\lin641\itap0 \rtlch\fcs1 \af0\afs20\alang1025 \ltrch\fcs0 \f1\fs24\lang2055\langfe1033\cgrid\langnp2055\langfenp1033 {\field\fldedit{\*\fldinst {
|
||||
\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\insrsid15162238 HYPERLINK \\l "_Toc25920300"}{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000330030003000000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 2.7.1}{
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f0063003200350039003200300033003000300000000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 2.7.1}{
|
||||
\rtlch\fcs1 \af0\afs22 \ltrch\fcs0 \fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238 \tab }{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 Generics}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid15162238 \tab }{\field{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\lang1024\langfe1024\noproof\webhidden\insrsid15162238 PAGEREF _Toc25920300 \\h }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f006300320035003900320030003300300030000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 13}}}\sectd \ltrsect
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000330030003000000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 13}}}\sectd \ltrsect
|
||||
\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj }}\sectd \ltrsect\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj {\rtlch\fcs1 \af0\afs22 \ltrch\fcs0
|
||||
\fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238
|
||||
\par }{\field\fldedit{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\insrsid15162238 HYPERLINK \\l "_Toc25920301"}{\rtlch\fcs1 \af0
|
||||
\ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000330030003100000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 2.7.2}{
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f0063003200350039003200300033003000310000000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 2.7.2}{
|
||||
\rtlch\fcs1 \af0\afs22 \ltrch\fcs0 \fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238 \tab }{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 Interfaces}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid15162238 \tab }{\field{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\lang1024\langfe1024\noproof\webhidden\insrsid15162238 PAGEREF _Toc25920301 \\h }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f006300320035003900320030003300300031000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 13}}}\sectd \ltrsect
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000330030003100000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 13}}}\sectd \ltrsect
|
||||
\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj }}\sectd \ltrsect\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj {\rtlch\fcs1 \af0\afs22 \ltrch\fcs0
|
||||
\fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238
|
||||
\par }{\field\fldedit{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\insrsid15162238 HYPERLINK \\l "_Toc25920302"}{\rtlch\fcs1 \af0
|
||||
\ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\insrsid15162238\charrsid8588276 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000330030003200000011}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 2.7.3}{
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f0063003200350039003200300033003000320000001100}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 2.7.3}{
|
||||
\rtlch\fcs1 \af0\afs22 \ltrch\fcs0 \fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238 \tab }{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\cs35\ul\cf2\lang1024\langfe1024\noproof\langnp1033\insrsid15162238\charrsid8588276 Architecture}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid15162238 \tab }{\field{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\lang1024\langfe1024\noproof\webhidden\insrsid15162238 PAGEREF _Toc25920302 \\h }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f006300320035003900320030003300300032000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 14}}}\sectd \ltrsect
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000d0000005f0054006f00630032003500390032003000330030003200000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1024\langfe1024\noproof\webhidden\insrsid6321612 14}}}\sectd \ltrsect
|
||||
\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj }}\sectd \ltrsect\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj {\rtlch\fcs1 \af0\afs22 \ltrch\fcs0
|
||||
\fs22\lang1024\langfe1024\loch\af31506\hich\af31506\dbch\af31505\noproof\langfenp2055\insrsid15162238
|
||||
\par }\pard\plain \ltrpar\qj \li0\ri0\widctlpar\wrapdefault\nooverflow\faroman\rin0\lin0\itap0\pararsid9705258 \rtlch\fcs1 \af0\afs20\alang1025 \ltrch\fcs0 \f1\fs24\lang2055\langfe1033\cgrid\langnp2055\langfenp1033 }}\pard\plain \ltrpar
|
||||
@ -1031,8 +1032,8 @@ fffffffffffffffffdffffff58000000feffffffbe00000005000000060000000700000008000000
|
||||
00001f000000200000002100000022000000230000002400000025000000260000002700000028000000290000002a0000002b0000002c0000002d0000002e0000002f000000300000003100000032000000330000003400000035000000360000003700000038000000390000003a0000003b0000003c0000003d000000
|
||||
3e0000003f000000400000004100000042000000430000004400000045000000460000004700000048000000490000004a0000004b0000004c0000004d0000004e0000004f0000005000000051000000520000005300000054000000550000005600000057000000feffffff95000000fdffffff5b0000005c0000005d00
|
||||
00005e0000005f000000600000006100000062000000630000006400000065000000660000006700000068000000690000006a0000006b0000006c0000006d0000006e0000006f000000700000007100000072000000730000007400000075000000760000007700000078000000790000007a0000007b0000007c000000
|
||||
7d0000007e0000007f0000008000000052006f006f007400200045006e00740072007900000000000000000000000000000000000000000000000000000000000000000000000000000000000000000016000500ffffffffffffffff04000000141a020000000000c00000000000004600000000000000000000000020fa
|
||||
0aa7baa6d50103000000800300000000000001004f006c00650000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000201ffffffffffffffffffffffff00000000000000000000000000000000000000000000000000000000
|
||||
7d0000007e0000007f0000008000000052006f006f007400200045006e00740072007900000000000000000000000000000000000000000000000000000000000000000000000000000000000000000016000500ffffffffffffffff04000000141a020000000000c000000000000046000000000000000000000000a0c7
|
||||
07aab5d1d50103000000800300000000000001004f006c00650000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000201ffffffffffffffffffffffff00000000000000000000000000000000000000000000000000000000
|
||||
0000000000000000000000001400000000000000030045005000520049004e0054000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100002010100000003000000ffffffff0000000000000000000000000000000000000000000000000000
|
||||
000000000000000000000400000094a6000000000000010043006f006d0070004f0062006a0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000012000201ffffffffffffffffffffffff000000000000000000000000000000000000000000000000
|
||||
000000000000000000000000010000007300000000000000feffffff02000000fefffffffefffffffefffffffeffffff0700000008000000090000000a0000000b0000000c0000000d000000feffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff
|
||||
@ -3325,8 +3326,8 @@ fffffffffffffffffdffffff7a020000feffffff71030000fdfffffffdfffffffdfffffffdffffff
|
||||
00001f000000200000002100000022000000230000002400000025000000260000002700000028000000290000002a0000002b0000002c0000002d0000002e0000002f000000300000003100000032000000330000003400000035000000360000003700000038000000390000003a0000003b0000003c0000003d000000
|
||||
3e0000003f000000400000004100000042000000430000004400000045000000460000004700000048000000490000004a0000004b0000004c0000004d0000004e0000004f000000500000005100000052000000530000005400000055000000560000005700000058000000590000005a0000005b0000005c0000005d00
|
||||
00005e0000005f000000600000006100000062000000630000006400000065000000660000006700000068000000690000006a0000006b0000006c0000006d0000006e0000006f000000700000007100000072000000730000007400000075000000760000007700000078000000790000007a0000007b0000007c000000
|
||||
7d0000007e0000007f0000008000000052006f006f007400200045006e00740072007900000000000000000000000000000000000000000000000000000000000000000000000000000000000000000016000500ffffffffffffffff04000000141a020000000000c000000000000046000000000000000000000000c080
|
||||
0ca7baa6d50103000000800300000000000001004f006c00650000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000201ffffffffffffffffffffffff00000000000000000000000000000000000000000000000000000000
|
||||
7d0000007e0000007f0000008000000052006f006f007400200045006e00740072007900000000000000000000000000000000000000000000000000000000000000000000000000000000000000000016000500ffffffffffffffff04000000141a020000000000c00000000000004600000000000000000000000010bb
|
||||
0daab5d1d50103000000800300000000000001004f006c00650000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000201ffffffffffffffffffffffff00000000000000000000000000000000000000000000000000000000
|
||||
0000000000000000000000001400000000000000030045005000520049004e0054000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100002010100000003000000ffffffff0000000000000000000000000000000000000000000000000000
|
||||
0000000000000000000008000000f4e2040000000000010043006f006d0070004f0062006a0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000012000201ffffffffffffffffffffffff000000000000000000000000000000000000000000000000
|
||||
000000000000000000000000010000007300000000000000feffffff02000000fefffffffefffffffefffffffeffffff0700000008000000090000000a0000000b0000000c0000000d000000feffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff
|
||||
@ -23964,7 +23965,7 @@ std_logic_vector(127:0)}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1045\langfe1033\langn
|
||||
\par }\pard\plain \ltrpar\qj \li0\ri0\widctlpar\wrapdefault\nooverflow\faroman\rin0\lin0\itap0\pararsid1179874 \rtlch\fcs1 \af0\afs20\alang1025 \ltrch\fcs0 \f1\fs24\lang2055\langfe1033\cgrid\langnp2055\langfenp1033 {\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\lang1033\langfe1033\langnp1033\insrsid1179874 The component starts working automatically whenever the timing signal is connected the RX input. Only the user events table has to be configured to detect the required events}{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\lang1033\langfe1033\langnp1033\insrsid15538755 in run time}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid1179874 .}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid4265188
|
||||
\par {\*\bkmkstart _Toc25920291}{\*\bkmkstart _Control_and_Status}{\*\bkmkend _Control_and_Status}{\listtext\pard\plain\ltrpar \s3 \rtlch\fcs1 \af0\afs20 \ltrch\fcs0 \b\f1\fs28\lang1033\langfe1033\langnp1033\langfenp1033\insrsid7276640
|
||||
\par {\*\bkmkstart _Control_and_Status}{\*\bkmkstart _Toc25920291}{\*\bkmkend _Control_and_Status}{\listtext\pard\plain\ltrpar \s3 \rtlch\fcs1 \af0\afs20 \ltrch\fcs0 \b\f1\fs28\lang1033\langfe1033\langnp1033\langfenp1033\insrsid7276640
|
||||
\hich\af1\dbch\af0\loch\f1 2.3.1\tab}}\pard\plain \ltrpar\s3\ql \fi-720\li720\ri0\sb360\keepn\widctlpar\jclisttab\tx720\tx851\wrapdefault\nooverflow\faroman\ls1\ilvl2\outlinelevel2\rin0\lin720\itap0\pararsid4265188 \rtlch\fcs1 \af0\afs20\alang1025
|
||||
\ltrch\fcs0 \b\f1\fs28\lang2055\langfe1033\cgrid\langnp2055\langfenp1033 {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid7276640 Control and Status }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid4265188
|
||||
Register Map:}{\rtlch\fcs1 \af1 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid4265188\charrsid12020779 {\*\bkmkend _Toc25920291}
|
||||
@ -24184,32 +24185,32 @@ event number\rquote detected\cell }\pard\plain \ltrpar\ql \li0\ri0\sa200\sl276\
|
||||
\s31\qj \li0\ri0\widctlpar\intbl\wrapdefault\nooverflow\faroman\rin0\lin0\pararsid12583057\yts38 \rtlch\fcs1 \ab\af0\afs20\alang1025 \ltrch\fcs0 \f1\fs20\lang1033\langfe1033\cgrid\langnp1033\langfenp1033 {\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid288001
|
||||
0x00000050\cell 64bit\cell RW\cell }\pard \ltrpar\s31\qj \li0\ri0\widctlpar\intbl\wrapdefault\nooverflow\faroman\rin0\lin0\pararsid288001\yts38 {\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid288001 User event pulse delay parameters in recovery clock cycles
|
||||
\par bit[15:0] \endash user event 1 pulse delay parameter
|
||||
\par bit[31:16] }{\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid288001 \endash }{\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid288001 user event 2 pulse delay parameter}{\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid11099494
|
||||
\par }{\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid288001 bit[47-32] }{\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid288001 \endash }{\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid288001 user event 3 pulse delay parameter
|
||||
\par }\pard \ltrpar\s31\qj \li0\ri0\widctlpar\intbl\wrapdefault\nooverflow\faroman\rin0\lin0\pararsid11099494\yts38 {\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid288001 bit[63:48] }{\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid288001 \endash }{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\insrsid288001 user event 4 pulse delay parameter}{\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid11099494 \cell }\pard\plain \ltrpar\ql \li0\ri0\sa200\sl276\slmult1\widctlpar\intbl\wrapdefault\aspalpha\aspnum\faauto\adjustright\rin0\lin0 \rtlch\fcs1
|
||||
\af0\afs20\alang1025 \ltrch\fcs0 \f1\fs24\lang2055\langfe1033\cgrid\langnp2055\langfenp1033 {\rtlch\fcs1 \ab\af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid288001\charrsid288001 \trowd \irow18\irowband18\ltrrow\ts38\trgaph108\trleft142\trbrdrt
|
||||
\brdrs\brdrw10 \trbrdrl\brdrs\brdrw10 \trbrdrb\brdrs\brdrw10 \trbrdrr\brdrs\brdrw10 \trbrdrh\brdrs\brdrw10 \trbrdrv\brdrs\brdrw10
|
||||
\par bit[31:16] \endash user event 2 pulse delay parameter}{\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid11099494
|
||||
\par }{\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid288001 bit[47-32] \endash user event 3 pulse delay parameter
|
||||
\par }\pard \ltrpar\s31\qj \li0\ri0\widctlpar\intbl\wrapdefault\nooverflow\faroman\rin0\lin0\pararsid11099494\yts38 {\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid288001 bit[63:48] \endash user event 4 pulse delay parameter}{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\insrsid11099494 \cell }\pard\plain \ltrpar\ql \li0\ri0\sa200\sl276\slmult1\widctlpar\intbl\wrapdefault\aspalpha\aspnum\faauto\adjustright\rin0\lin0 \rtlch\fcs1 \af0\afs20\alang1025 \ltrch\fcs0 \f1\fs24\lang2055\langfe1033\cgrid\langnp2055\langfenp1033 {
|
||||
\rtlch\fcs1 \ab\af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid288001\charrsid288001 \trowd \irow18\irowband18\ltrrow\ts38\trgaph108\trleft142\trbrdrt\brdrs\brdrw10 \trbrdrl\brdrs\brdrw10 \trbrdrb\brdrs\brdrw10 \trbrdrr\brdrs\brdrw10 \trbrdrh
|
||||
\brdrs\brdrw10 \trbrdrv\brdrs\brdrw10 \trftsWidth1\trftsWidthB3\trftsWidthA3\trautofit1\trpaddl108\trpaddr108\trpaddfl3\trpaddft3\trpaddfb3\trpaddfr3\tblrsid288001\tbllkhdrrows\tbllkhdrcols\tbllknocolband\tblind250\tblindtype3 \clvertalt\clbrdrt
|
||||
\brdrs\brdrw10 \clbrdrl\brdrs\brdrw10 \clbrdrb\brdrs\brdrw10 \clbrdrr\brdrs\brdrw10 \clcbpat20\cltxlrtb\clftsWidth3\clwWidth1985\clcbpatraw20 \cellx2127\clvertalt\clbrdrt\brdrs\brdrw10 \clbrdrl\brdrs\brdrw10 \clbrdrb\brdrs\brdrw10 \clbrdrr\brdrs\brdrw10
|
||||
\clcbpat20\cltxlrtb\clftsWidth3\clwWidth708\clcbpatraw20 \cellx2835\clvertalt\clbrdrt\brdrs\brdrw10 \clbrdrl\brdrs\brdrw10 \clbrdrb\brdrs\brdrw10 \clbrdrr\brdrs\brdrw10 \clcbpat20\cltxlrtb\clftsWidth3\clwWidth917\clcbpatraw20 \cellx3752\clvertalt\clbrdrt
|
||||
\brdrs\brdrw10 \clbrdrl\brdrs\brdrw10 \clbrdrb\brdrs\brdrw10 \clbrdrr\brdrs\brdrw10 \clcbpat20\cltxlrtb\clftsWidth3\clwWidth6561\clcbpatraw20 \cellx10313\row \ltrrow}\pard\plain \ltrpar
|
||||
\s31\qj \li0\ri0\widctlpar\intbl\wrapdefault\nooverflow\faroman\rin0\lin0\pararsid12583057\yts38 \rtlch\fcs1 \ab\af0\afs20\alang1025 \ltrch\fcs0 \f1\fs20\lang1033\langfe1033\cgrid\langnp1033\langfenp1033 {\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid12715842
|
||||
0x00000058}{\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid288001 \cell 64bit\cell RW\cell }\pard \ltrpar\s31\qj \li0\ri0\widctlpar\intbl\wrapdefault\nooverflow\faroman\rin0\lin0\pararsid288001\yts38 {\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid288001
|
||||
User event pulse width parameters in recovery clock cycles
|
||||
\par bit[15:0] \endash user event 1 pulse width parameter
|
||||
\par bit[31:16] \endash user event 2 pulse width parameter
|
||||
\par bit[47-32] \endash user event 3 pulse width parameter
|
||||
\par bit[63:48] \endash user event 4 pulse width parameter\cell }\pard\plain \ltrpar\ql \li0\ri0\sa200\sl276\slmult1\widctlpar\intbl\wrapdefault\aspalpha\aspnum\faauto\adjustright\rin0\lin0 \rtlch\fcs1 \af0\afs20\alang1025 \ltrch\fcs0
|
||||
\f1\fs24\lang2055\langfe1033\cgrid\langnp2055\langfenp1033 {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid288001\charrsid288001 \trowd \irow19\irowband19\ltrrow\ts38\trgaph108\trleft142\trbrdrt\brdrs\brdrw10 \trbrdrl\brdrs\brdrw10
|
||||
\trbrdrb\brdrs\brdrw10 \trbrdrr\brdrs\brdrw10 \trbrdrh\brdrs\brdrw10 \trbrdrv\brdrs\brdrw10
|
||||
\trftsWidth1\trftsWidthB3\trftsWidthA3\trautofit1\trpaddl108\trpaddr108\trpaddfl3\trpaddft3\trpaddfb3\trpaddfr3\tblrsid288001\tbllkhdrrows\tbllkhdrcols\tbllknocolband\tblind250\tblindtype3 \clvertalt\clbrdrt\brdrs\brdrw10 \clbrdrl\brdrs\brdrw10 \clbrdrb
|
||||
\brdrs\brdrw10 \clbrdrr\brdrs\brdrw10 \clcbpat20\cltxlrtb\clftsWidth3\clwWidth1985\clcbpatraw20 \cellx2127\clvertalt\clbrdrt\brdrs\brdrw10 \clbrdrl\brdrs\brdrw10 \clbrdrb\brdrs\brdrw10 \clbrdrr\brdrs\brdrw10
|
||||
\clcbpat20\cltxlrtb\clftsWidth3\clwWidth708\clcbpatraw20 \cellx2835\clvertalt\clbrdrt\brdrs\brdrw10 \clbrdrl\brdrs\brdrw10 \clbrdrb\brdrs\brdrw10 \clbrdrr\brdrs\brdrw10 \clcbpat20\cltxlrtb\clftsWidth3\clwWidth917\clcbpatraw20 \cellx3752\clvertalt\clbrdrt
|
||||
\brdrs\brdrw10 \clbrdrl\brdrs\brdrw10 \clbrdrb\brdrs\brdrw10 \clbrdrr\brdrs\brdrw10 \clcbpat20\cltxlrtb\clftsWidth3\clwWidth6561\clcbpatraw20 \cellx10313\row \ltrrow}\pard\plain \ltrpar
|
||||
\s31\qj \li0\ri0\widctlpar\intbl\wrapdefault\nooverflow\faroman\rin0\lin0\pararsid12583057\yts38 \rtlch\fcs1 \ab\af0\afs20\alang1025 \ltrch\fcs0 \f1\fs20\lang1033\langfe1033\cgrid\langnp1033\langfenp1033 {\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid288001
|
||||
0x00000054\cell 64bit\cell RW\cell }\pard \ltrpar\s31\qj \li0\ri0\widctlpar\intbl\wrapdefault\nooverflow\faroman\rin0\lin0\pararsid288001\yts38 {\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid288001 User event pulse }{\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid288001
|
||||
width}{\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid288001 parameters in recovery clock cycles
|
||||
\par bit[15:0] \endash user event 1 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid288001 pulse width}{\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid288001 parameter
|
||||
\par bit[31:16] \endash user event 2}{\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid288001 pulse}{\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid288001 width parameter
|
||||
\par bit[47-32] \endash user event 3}{\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid288001 pulse}{\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid288001 width parameter
|
||||
\par bit[63:48] \endash user event 4 }{\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid288001 pulse }{\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid288001 width parameter}{\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid288001 \cell }\pard\plain \ltrpar\ql \li0\ri0\sa200\sl276\slmult1
|
||||
\widctlpar\intbl\wrapdefault\aspalpha\aspnum\faauto\adjustright\rin0\lin0 \rtlch\fcs1 \af0\afs20\alang1025 \ltrch\fcs0 \f1\fs24\lang2055\langfe1033\cgrid\langnp2055\langfenp1033 {\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\lang1033\langfe1033\langnp1033\insrsid288001\charrsid288001 \trowd \irow19\irowband19\ltrrow\ts38\trgaph108\trleft142\trbrdrt\brdrs\brdrw10 \trbrdrl\brdrs\brdrw10 \trbrdrb\brdrs\brdrw10 \trbrdrr\brdrs\brdrw10 \trbrdrh\brdrs\brdrw10 \trbrdrv
|
||||
\brdrs\brdrw10 \trftsWidth1\trftsWidthB3\trftsWidthA3\trautofit1\trpaddl108\trpaddr108\trpaddfl3\trpaddft3\trpaddfb3\trpaddfr3\tblrsid288001\tbllkhdrrows\tbllkhdrcols\tbllknocolband\tblind250\tblindtype3 \clvertalt\clbrdrt\brdrs\brdrw10 \clbrdrl
|
||||
\brdrs\brdrw10 \clbrdrb\brdrs\brdrw10 \clbrdrr\brdrs\brdrw10 \clcbpat20\cltxlrtb\clftsWidth3\clwWidth1985\clcbpatraw20 \cellx2127\clvertalt\clbrdrt\brdrs\brdrw10 \clbrdrl\brdrs\brdrw10 \clbrdrb\brdrs\brdrw10 \clbrdrr\brdrs\brdrw10
|
||||
\clcbpat20\cltxlrtb\clftsWidth3\clwWidth708\clcbpatraw20 \cellx2835\clvertalt\clbrdrt\brdrs\brdrw10 \clbrdrl\brdrs\brdrw10 \clbrdrb\brdrs\brdrw10 \clbrdrr\brdrs\brdrw10 \clcbpat20\cltxlrtb\clftsWidth3\clwWidth917\clcbpatraw20 \cellx3752\clvertalt\clbrdrt
|
||||
\brdrs\brdrw10 \clbrdrl\brdrs\brdrw10 \clbrdrb\brdrs\brdrw10 \clbrdrr\brdrs\brdrw10 \clcbpat20\cltxlrtb\clftsWidth3\clwWidth6561\clcbpatraw20 \cellx10313\row \ltrrow}\pard\plain \ltrpar
|
||||
\s31\qj \li0\ri0\widctlpar\intbl\wrapdefault\nooverflow\faroman\rin0\lin0\pararsid12583057\yts38 \rtlch\fcs1 \ab\af0\afs20\alang1025 \ltrch\fcs0 \f1\fs20\lang1033\langfe1033\cgrid\langnp1033\langfenp1033 {\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid288001 0x000}
|
||||
{\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid288001 0005}{\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid288001 8}{\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid288001 \cell 32bit\cell RW\cell SOS pulse delay & width parameter
|
||||
\par bit[15:0] \endash sos }{\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid288001 pulse width parameter}{\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid288001
|
||||
\s31\qj \li0\ri0\widctlpar\intbl\wrapdefault\nooverflow\faroman\rin0\lin0\pararsid12715842\yts38 \rtlch\fcs1 \ab\af0\afs20\alang1025 \ltrch\fcs0 \f1\fs20\lang1033\langfe1033\cgrid\langnp1033\langfenp1033 {\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid288001
|
||||
0x000000}{\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid12715842 60}{\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid288001 \cell }\pard \ltrpar\s31\qj \li0\ri0\widctlpar\intbl\wrapdefault\nooverflow\faroman\rin0\lin0\pararsid12583057\yts38 {\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\insrsid288001 32bit\cell RW\cell SOS pulse delay & width parameter
|
||||
\par bit[15:0] \endash sos pulse width parameter
|
||||
\par bit[31:0] \endash sos pulse delay parameter\cell }\pard\plain \ltrpar\ql \li0\ri0\sa200\sl276\slmult1\widctlpar\intbl\wrapdefault\aspalpha\aspnum\faauto\adjustright\rin0\lin0 \rtlch\fcs1 \af0\afs20\alang1025 \ltrch\fcs0
|
||||
\f1\fs24\lang2055\langfe1033\cgrid\langnp2055\langfenp1033 {\rtlch\fcs1 \ab\af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid288001\charrsid288001 \trowd \irow20\irowband20\lastrow \ltrrow\ts38\trgaph108\trleft142\trbrdrt\brdrs\brdrw10 \trbrdrl
|
||||
\brdrs\brdrw10 \trbrdrb\brdrs\brdrw10 \trbrdrr\brdrs\brdrw10 \trbrdrh\brdrs\brdrw10 \trbrdrv\brdrs\brdrw10
|
||||
@ -24387,16 +24388,16 @@ ent Timestamps from data buffer (32bit aligned)}{\rtlch\fcs1 \af0 \ltrch\fcs0 \i
|
||||
\af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid15349767 set >= 1
|
||||
\par {\listtext\pard\plain\ltrpar \rtlch\fcs1 \af0\afs20 \ltrch\fcs0 \f1\lang1033\langfe1033\langnp1033\langfenp1033\insrsid15349767 \hich\af1\dbch\af0\loch\f1 -\tab}
|
||||
Minimum time of correctly received data buffer frames must be >= 0x15CA20 for SwissFEL@100Hz with 142.8MHz reference clock
|
||||
\par {\listtext\pard\plain\ltrpar \rtlch\fcs1 \af0\afs20 \ltrch\fcs0 \f1\lang1033\langfe1033\langnp1033\langfenp1033\insrsid16150966 \hich\af1\dbch\af0\loch\f1 -\tab}}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid16150966
|
||||
The software needs to readout the desired data and confirm the termination with sending the }{\rtlch\fcs1 \af0 \ltrch\fcs0 \i\lang1033\langfe1033\langnp1033\insrsid16150966\charrsid16150966 Read Ack}{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\par {\listtext\pard\plain\ltrpar \rtlch\fcs1 \af0\afs20 \ltrch\fcs0 \f1\lang1033\langfe1033\langnp1033\langfenp1033\insrsid16150966 \hich\af1\dbch\af0\loch\f1 -\tab}}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid16150966 The softw
|
||||
are needs to readout the desired data and confirm the termination with sending the }{\rtlch\fcs1 \af0 \ltrch\fcs0 \i\lang1033\langfe1033\langnp1033\insrsid16150966\charrsid16150966 Read Ack}{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\lang1033\langfe1033\langnp1033\insrsid16150966 before the next }{\rtlch\fcs1 \af0 \ltrch\fcs0 \i\lang1033\langfe1033\langnp1033\insrsid16150966\charrsid5647340 SOS Event}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid11618816 }{
|
||||
\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid15349767\charrsid15349767
|
||||
\par }\pard \ltrpar\qj \li0\ri0\widctlpar\wrapdefault\nooverflow\faroman\rin0\lin0\itap0\pararsid7276640 {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid5647340 Two typical sequences are shown in }{\field{\*\fldinst {\rtlch\fcs1 \af0
|
||||
\ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid5647340 REF _Ref513108349 \\h }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid6321612 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000e0000005f005200650066003500310033003100300038003300340039000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid6321612\charrsid8718715 Figure }{\rtlch\fcs1 \af0
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000e0000005f00520065006600350031003300310030003800330034003900000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid6321612\charrsid8718715 Figure }{\rtlch\fcs1 \af0
|
||||
\ltrch\fcs0 \lang1024\langfe1024\noproof\langnp1033\insrsid6321612 3}}}\sectd \ltrsect\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid5647340 and }
|
||||
{\field{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid5647340 REF _Ref513108375 \\h }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid6321612 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000e0000005f005200650066003500310033003100300038003300370035000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid6321612\charrsid8718715 Figure }{\rtlch\fcs1 \af0
|
||||
08d0c9ea79f9bace118c8200aa004ba90b02000000080000000e0000005f00520065006600350031003300310030003800330037003500000002}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid6321612\charrsid8718715 Figure }{\rtlch\fcs1 \af0
|
||||
\ltrch\fcs0 \lang1024\langfe1024\noproof\langnp1033\insrsid6321612 4}}}\sectd \ltrsect\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid5647340
|
||||
with the separate Acknowledge signals for each status flag.}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid7276640
|
||||
\par
|
||||
@ -24410,8 +24411,8 @@ fffffffffffffffffdffffff41000000feffffff9a00000005000000060000000700000008000000
|
||||
00001f000000200000002100000022000000230000002400000025000000260000002700000028000000290000002a0000002b0000002c0000002d0000002e0000002f000000300000003100000032000000330000003400000035000000360000003700000038000000390000003a0000003b0000003c0000003d000000
|
||||
3e0000003f00000040000000feffffff70000000430000004400000045000000460000004700000048000000490000004a0000004b0000004c0000004d0000004e0000004f000000500000005100000052000000530000005400000055000000560000005700000058000000590000005a0000005b0000005c0000005d00
|
||||
00005e0000005f000000600000006100000062000000630000006400000065000000660000006700000068000000690000006a0000006b0000006c0000006d0000006e0000006f000000fefffffffefffffffdffffff730000007400000075000000760000007700000078000000790000007a0000007b0000007c000000
|
||||
7d0000007e0000007f0000008000000052006f006f007400200045006e00740072007900000000000000000000000000000000000000000000000000000000000000000000000000000000000000000016000500ffffffffffffffff04000000141a020000000000c0000000000000460000000000000000000000000070
|
||||
14a7baa6d50103000000800300000000000001004f006c00650000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000201ffffffffffffffffffffffff00000000000000000000000000000000000000000000000000000000
|
||||
7d0000007e0000007f0000008000000052006f006f007400200045006e00740072007900000000000000000000000000000000000000000000000000000000000000000000000000000000000000000016000500ffffffffffffffff04000000141a020000000000c00000000000004600000000000000000000000070f8
|
||||
15aab5d1d50103000000800300000000000001004f006c00650000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000201ffffffffffffffffffffffff00000000000000000000000000000000000000000000000000000000
|
||||
0000000000000000000000001400000000000000030045005000520049004e0054000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100002010100000003000000ffffffff0000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000040000000c78000000000000010043006f006d0070004f0062006a0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000012000201ffffffffffffffffffffffff000000000000000000000000000000000000000000000000
|
||||
000000000000000000000000010000007500000000000000feffffff02000000fefffffffefffffffefffffffeffffff0700000008000000090000000a0000000b0000000c0000000d000000feffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff
|
||||
@ -25519,7 +25520,7 @@ ffffffff50000000e000000000000000250000000c0000000d00008046000000b4000000a8000000
|
||||
dc0000000900000002000000a9030000db0000002900aa0000000000000000000000803f00000000000000000000803f00000000000000000000000000000000
|
||||
00000000000000000000000000000000220000000c000000ffffffff460000001c00000010000000454d462b024000000c000000000000000e00000014000000000000001000000014000000}}{\nonshppict{\pict\picscalex53\picscaley56\piccropl0\piccropr0\piccropt0\piccropb0
|
||||
\picw33378\pich7869\picwgoal18923\pichgoal4461\wmetafile8\bliptag-1107138428\blipupi72{\*\blipuid be0268849d18d743e7b9187d0aa9b7d4}
|
||||
0100090000034d48000007001610000000001610000026060f002220574d46430100000000000100ecac0000000004000000002000000c5800000c7800000100
|
||||
0100090000034d48000007001610000000001610000026060f002220574d46430100000000000100abac0000000004000000002000000c5800000c7800000100
|
||||
00006c00000008000000ffffffffb4030000dd000000000000000000000062820000bc1e000020454d46000001000c780000ec02000004000000000000000000
|
||||
00000000000080070000b0040000a5020000a7010000000000000000000000000000d5550a00a5750600460000002c00000020000000454d462b014001001c00
|
||||
0000100000000210c0db01000000600000006000000046000000bc000000b0000000454d462b3040020010000000040000000000803f1f4004000c0000000000
|
||||
@ -26070,13 +26071,13 @@ ae02bb00ad02bc00a402bc00a302bb00a402ba00a402ba000400000006010100040000002d010300
|
||||
04000000f0010500040000000201010005000000090200000000040000002e011800040000002d01000028000000320a1601ac001600000048616e646c652049
|
||||
52512020202020202020202020200900070008000700030007000200040008000900030002000300030002000300020003000300020003000000040000002d01
|
||||
0400040000000201010005000000090200000000040000002e01180004000000f00100001c000000fb02f2ff0000000000009001000000020700040057696e67
|
||||
64696e67730000000000000000000000000000000000000000000000040000002d01000009000000320a160112010100000045000000040000002d0104000400
|
||||
64696e67730000000000000000000000000000000000000000000000040000002d01000009000000320a160112010100000049000000040000002d0104000400
|
||||
00000201010005000000090200000000040000002e01180004000000f00100001c000000fb02f2ff0000000000009001000000000700040043616c6962726900
|
||||
000000000000000000000000000000000000000000000000040000002d01000015000000320a16013c0109000000526561642044617461000700070007000700
|
||||
03000900070005000000040000002d010400040000000201010005000000090200000000040000002e011800040000002d0100001c000000320a1601bf010e00
|
||||
000053656e642041434b20202020202007000600080008000300080007000800030002000300030002000000040000002d010400040000000201010005000000
|
||||
090200000000040000002e01180004000000f00100001c000000fb02f2ff0000000000009001000000020700040057696e6764696e6773000000000000000000
|
||||
0000000000000000000000000000040000002d01000009000000320a160108020100000008000000040000002d01040004000000020101000500000009020000
|
||||
0000000000000000000000000000040000002d01000009000000320a160108020100000045000000040000002d01040004000000020101000500000009020000
|
||||
0000040000002e01180004000000f00100001c000000fb02f2ff0000000000009001000000000700040043616c69627269000000000000000000000000000000
|
||||
00000000000000000000040000002d01000016000000320a160126020a00000052656164204572726f7208000700060008000300060006000500080000000400
|
||||
00002d01040008000000fa020000010000007f7f7f00040000002d010500040000002d0101000800000025030200e3020501e3021e01040000002d0102000400
|
||||
@ -26115,8 +26116,8 @@ fffffffffffffffffdffffff72000000feffffffe600000005000000060000000700000008000000
|
||||
00001f000000200000002100000022000000230000002400000025000000260000002700000028000000290000002a0000002b0000002c0000002d0000002e0000002f000000300000003100000032000000330000003400000035000000360000003700000038000000390000003a0000003b0000003c0000003d000000
|
||||
3e0000003f000000400000004100000042000000430000004400000045000000460000004700000048000000490000004a0000004b0000004c0000004d0000004e0000004f000000500000005100000052000000530000005400000055000000560000005700000058000000590000005a0000005b0000005c0000005d00
|
||||
00005e0000005f000000600000006100000062000000630000006400000065000000660000006700000068000000690000006a0000006b0000006c0000006d0000006e0000006f0000007000000071000000feffffffbc000000fdffffff75000000760000007700000078000000790000007a0000007b0000007c000000
|
||||
7d0000007e0000007f0000008000000052006f006f007400200045006e00740072007900000000000000000000000000000000000000000000000000000000000000000000000000000000000000000016000500ffffffffffffffff04000000141a020000000000c000000000000046000000000000000000000000a0f6
|
||||
15a7baa6d50103000000800300000000000001004f006c00650000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000201ffffffffffffffffffffffff00000000000000000000000000000000000000000000000000000000
|
||||
7d0000007e0000007f0000008000000052006f006f007400200045006e00740072007900000000000000000000000000000000000000000000000000000000000000000000000000000000000000000016000500ffffffffffffffff04000000141a020000000000c00000000000004600000000000000000000000020a6
|
||||
17aab5d1d50103000000800300000000000001004f006c00650000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000201ffffffffffffffffffffffff00000000000000000000000000000000000000000000000000000000
|
||||
0000000000000000000000001400000000000000030045005000520049004e0054000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100002010100000003000000ffffffff0000000000000000000000000000000000000000000000000000
|
||||
0000000000000000000004000000d8da000000000000010043006f006d0070004f0062006a0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000012000201ffffffffffffffffffffffff000000000000000000000000000000000000000000000000
|
||||
000000000000000000000000010000007500000000000000feffffff02000000fefffffffefffffffefffffffeffffff0700000008000000090000000a0000000b0000000c0000000d000000feffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff
|
||||
@ -27928,7 +27929,7 @@ e3e02677e866500000000a3900000000e0665000a4df4200834f557600004700000000009a505576
|
||||
0900000002000000b10300003b0100000900000002000000a90300003a0100002900aa0000000000000000000000803f00000000000000000000803f00000000
|
||||
00000000000000000000000000000000000000000000000000000000220000000c000000ffffffff460000001c00000010000000454d462b024000000c000000000000000e00000014000000000000001000000014000000}}{\nonshppict
|
||||
{\pict\picscalex53\picscaley55\piccropl0\piccropr0\piccropt0\piccropb0\picw33378\pich11172\picwgoal18923\pichgoal6334\wmetafile8\bliptag-1721617203\blipupi72{\*\blipuid 996234cd3c0d681f7aa65d8211cbf968}
|
||||
0100090000034684000008001610000000001610000026060f002220574d46430100000000000100fbdb000000000700000000200000d8ba0000d8da00000100
|
||||
0100090000034684000008001610000000001610000026060f002220574d464301000000000001000bdc000000000700000000200000d8ba0000d8da00000100
|
||||
00006c00000008000000ffffffffb40300003c010000000000000000000062820000a52b000020454d4600000100d8da0000a505000004000000000000000000
|
||||
00000000000080070000b0040000a5020000a7010000000000000000000000000000d5550a00a5750600460000002c00000020000000454d462b014001001c00
|
||||
0000100000000210c0db01000000600000006000000046000000bc000000b0000000454d462b3040020010000000040000000000803f1f4004000c0000000000
|
||||
@ -28905,7 +28906,7 @@ e102eb00eb02eb00eb02ec00eb02ed00e102ed00e102ec00e102eb00e102eb000400000006010100
|
||||
040000002d01030004000000f0010500040000000201010005000000090200000000040000002e011800040000002d01000028000000320a1601ac0016000000
|
||||
48616e646c6520495251202020202020202020202020090007000800070003000700020004000800090003000200030003000200030002000300030002000300
|
||||
0000040000002d010400040000000201010005000000090200000000040000002e01180004000000f00100001c000000fb02f2ff000000000000900100000002
|
||||
0700040057696e6764696e67730000000000000000000000000000000000000000000000040000002d01000009000000320a1601120101000000080000000400
|
||||
0700040057696e6764696e67730000000000000000000000000000000000000000000000040000002d01000009000000320a1601120101000000440000000400
|
||||
00002d010400040000000201010005000000090200000000040000002e01180004000000f00100001c000000fb02f2ff00000000000090010000000007000400
|
||||
43616c6962726900000000000000000000000000000000000000000000000000040000002d01000015000000320a15013c010900000052656164204461746100
|
||||
070007000700070003000900070005000000040000002d01040008000000fa020000010000007f7f7f00040000002d010500040000002d0101000e0000002503
|
||||
@ -28949,7 +28950,7 @@ e102eb00eb02eb00eb02ec00eb02ed00e102ed00e102ec00e102eb00e102eb000400000006010100
|
||||
07000800020007000500050008000000040000002d010400040000000201010005000000090200000000040000002e011800040000002d01000015000000320a
|
||||
150108030900000053656e642041434b2000070006000800080002000800080008000000040000002d0104000400000002010100050000000902000000000400
|
||||
00002e01180004000000f00100001c000000fb02f2ff0000000000009001000000020700040057696e6764696e67730000000000000000000000000000000000
|
||||
000000000000040000002d01000009000000320a150142030100000054000000040000002d01040008000000fa020000010000007f7f7f00040000002d010500
|
||||
000000000000040000002d01000009000000320a150142030100000008000000040000002d01040008000000fa020000010000007f7f7f00040000002d010500
|
||||
040000002d01010008000000250302002404040124041d01040000002d010200040000002d01030004000000f001050004000000020101000500000009020000
|
||||
0000040000002e01180004000000f00100001c000000fb02f2ff0000000000009001000000000700040043616c69627269000000000000000000000000000000
|
||||
00000000000000000000040000002d0100001f000000320a150130041000000048616e646c652049525120202020202009000700080008000200070002000400
|
||||
@ -28997,10 +28998,10 @@ f2017801fc018001fc018001040000002d0102000400000006010100040000002d01030004000000
|
||||
\b\f1\fs28\lang2055\langfe1033\cgrid\langnp2055\langfenp1033 {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid11618816 Data }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid16150966 Validation}{\rtlch\fcs1 \af0
|
||||
\ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid11618816 {\*\bkmkend _Toc25920296}
|
||||
\par }\pard\plain \ltrpar\qj \li0\ri0\widctlpar\wrapdefault\nooverflow\faroman\rin0\lin0\itap0\pararsid11618816 \rtlch\fcs1 \af0\afs20\alang1025 \ltrch\fcs0 \f1\fs24\lang2055\langfe1033\cgrid\langnp2055\langfenp1033 {\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\lang1033\langfe1033\langnp1033\insrsid11618816 The }{\rtlch\fcs1 \af0 \ltrch\fcs0 \i\lang1033\langfe1033\langnp1033\insrsid11618816\charrsid11618816 User Events Counter}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid11618816 regis
|
||||
ter represents the amount of valid entries in the memory blocks }{\rtlch\fcs1 \af0 \ltrch\fcs0 \i\lang1033\langfe1033\langnp1033\insrsid11618816\charrsid11618816 Event Numbers}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid11618816
|
||||
and }{\rtlch\fcs1 \af0 \ltrch\fcs0 \i\lang1033\langfe1033\langnp1033\insrsid11618816\charrsid11618816 Event Numbers Timestamp}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid16150966 .}{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\lang1033\langfe1033\langnp1033\insrsid11618816\charrsid11618816
|
||||
\lang1033\langfe1033\langnp1033\insrsid11618816 The }{\rtlch\fcs1 \af0 \ltrch\fcs0 \i\lang1033\langfe1033\langnp1033\insrsid11618816\charrsid11618816 User Events Counter}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid11618816
|
||||
register represents the amount of valid entries in the memory blocks }{\rtlch\fcs1 \af0 \ltrch\fcs0 \i\lang1033\langfe1033\langnp1033\insrsid11618816\charrsid11618816 Event Numbers}{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\lang1033\langfe1033\langnp1033\insrsid11618816 and }{\rtlch\fcs1 \af0 \ltrch\fcs0 \i\lang1033\langfe1033\langnp1033\insrsid11618816\charrsid11618816 Event Numbers Timestamp}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid16150966 .}
|
||||
{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid11618816\charrsid11618816
|
||||
\par }\pard \ltrpar\qj \li0\ri0\widctlpar\wrapdefault\nooverflow\faroman\rin0\lin0\itap0\pararsid7276640 {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid7276640\charrsid7276640
|
||||
\par {\*\bkmkstart _Toc25920297}{\listtext\pard\plain\ltrpar \s2 \rtlch\fcs1 \af0\afs20 \ltrch\fcs0 \b\f1\fs32\lang1033\langfe1033\langnp1033\langfenp1033\insrsid7343469 \hich\af1\dbch\af0\loch\f1 2.5\tab}}\pard\plain \ltrpar
|
||||
\s2\ql \fi-576\li576\ri0\sb480\sa120\keepn\widctlpar\jclisttab\tx576\tx851\wrapdefault\nooverflow\faroman\ls1\ilvl1\outlinelevel1\rin0\lin576\itap0\pararsid16079652 \rtlch\fcs1 \af0\afs20\alang1025 \ltrch\fcs0
|
||||
@ -29026,15 +29027,15 @@ ter represents the amount of valid entries in the memory blocks }{\rtlch\fcs1 \a
|
||||
\lang1033\langfe1033\langnp1033\insrsid7945844 \page }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid16404155 {\*\bkmkstart _Toc25920299}IFC1210 Wrapper{\*\bkmkend _Toc25920299}
|
||||
\par }\pard\plain \ltrpar\qj \li0\ri0\widctlpar\wrapdefault\nooverflow\faroman\rin0\lin0\itap0\pararsid7945844 \rtlch\fcs1 \af0\afs20\alang1025 \ltrch\fcs0 \f1\fs24\lang2055\langfe1033\cgrid\langnp2055\langfenp1033 {\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\lang1033\langfe1033\langnp1033\insrsid7945844
|
||||
\par }\pard \ltrpar\qj \li0\ri0\widctlpar\wrapdefault\nooverflow\faroman\rin0\lin0\itap0\pararsid16404155 {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid16404155
|
||||
The embedded EVR component can also be used on ifc1210 board, therefore a wrapper has been made and here below once ca}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid3155984
|
||||
n find its port map description and architecture. It consists in having added a TMEM interface}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid10295626 (cf. Tosca2 documentation)}{\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\lang1033\langfe1033\langnp1033\insrsid3155984 with new features such as the extension of the pulse length and a settable delay for each output event}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid2098082 (cf. }{\field{\*\fldinst {
|
||||
\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid2098082 HYPERLINK \\l "_Control_and_Status" }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid2098082 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b0200000008000000140000005f0043006f006e00740072006f006c005f0061006e0064005f005300740061007400750073000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\par }\pard \ltrpar\qj \li0\ri0\widctlpar\wrapdefault\nooverflow\faroman\rin0\lin0\itap0\pararsid16404155 {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid16404155 The embedded EVR component can also be used on
|
||||
ifc1210 board, therefore a wrapper has been made and here below once ca}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid3155984 n find its port map description and architecture. It consists in having added a TMEM interface}{
|
||||
\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid10295626 (cf. Tosca2 documentation)}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid3155984 with new features such as the extension of the pulse length an
|
||||
d a settable delay for each output event}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid2098082 (cf. }{\field{\*\fldinst {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid2098082 HYPERLINK \\
|
||||
l "_Control_and_Status" }{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid2098082 {\*\datafield
|
||||
08d0c9ea79f9bace118c8200aa004ba90b0200000008000000140000005f0043006f006e00740072006f006c005f0061006e0064005f00530074006100740075007300000000}}}{\fldrslt {\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\cs35\ul\cf2\lang1033\langfe1033\langnp1033\insrsid2098082\charrsid2098082 \'a72.3.1}}}\sectd \ltrsect\binfsxn15\binsxn15\psz9\linex0\headery794\footery510\endnhere\sectdefaultcl\sftnbj {\rtlch\fcs1 \af0 \ltrch\fcs0
|
||||
\lang1033\langfe1033\langnp1033\insrsid2098082 )}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid3155984 .}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid10307378 The configuration vector length
|
||||
has been set to 16 bits.}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid16404155
|
||||
\lang1033\langfe1033\langnp1033\insrsid2098082 )}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid3155984 .}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid10307378
|
||||
The configuration vector length has been set to 16 bits.}{\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid16404155
|
||||
\par {\*\bkmkstart _Toc25920300}{\listtext\pard\plain\ltrpar \s3 \rtlch\fcs1 \af0\afs20 \ltrch\fcs0 \b\f1\fs28\lang1033\langfe1033\langnp1033\langfenp1033\insrsid3155984 \hich\af1\dbch\af0\loch\f1 2.7.1\tab}}\pard\plain \ltrpar
|
||||
\s3\ql \fi-720\li720\ri0\sb360\keepn\widctlpar\jclisttab\tx720\tx851\wrapdefault\nooverflow\faroman\ls1\ilvl2\outlinelevel2\rin0\lin720\itap0\pararsid3155984 \rtlch\fcs1 \af0\afs20\alang1025 \ltrch\fcs0
|
||||
\b\f1\fs28\lang2055\langfe1033\cgrid\langnp2055\langfenp1033 {\rtlch\fcs1 \af0 \ltrch\fcs0 \lang1033\langfe1033\langnp1033\insrsid3155984 Generics{\*\bkmkend _Toc25920300}
|
||||
@ -29402,17 +29403,17 @@ fffffffffffffffffdffffff47020000fefffffffefffffffdfffffffdfffffffdfffffffdffffff
|
||||
00001f000000200000002100000022000000230000002400000025000000260000002700000028000000290000002a0000002b0000002c0000002d0000002e0000002f000000300000003100000032000000330000003400000035000000360000003700000038000000390000003a0000003b0000003c0000003d000000
|
||||
3e0000003f000000400000004100000042000000430000004400000045000000460000004700000048000000490000004a0000004b0000004c0000004d0000004e0000004f000000500000005100000052000000530000005400000055000000560000005700000058000000590000005a0000005b0000005c0000005d00
|
||||
00005e0000005f000000600000006100000062000000630000006400000065000000660000006700000068000000690000006a0000006b0000006c0000006d0000006e0000006f000000700000007100000072000000730000007400000075000000760000007700000078000000790000007a0000007b0000007c000000
|
||||
7d0000007e0000007f0000008000000052006f006f007400200045006e00740072007900000000000000000000000000000000000000000000000000000000000000000000000000000000000000000016000500ffffffffffffffff02000000151a020000000000c000000000000046000000000000000000000000f02a
|
||||
19a7baa6d50103000000000100000000000001004f006c00650000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000201ffffffffffffffffffffffff00000000000000000000000000000000000000000000000000000000
|
||||
7d0000007e0000007f0000008000000052006f006f007400200045006e00740072007900000000000000000000000000000000000000000000000000000000000000000000000000000000000000000016000500ffffffffffffffff02000000151a020000000000c0000000000000460000000000000000000000009028
|
||||
1baab5d1d50103000000400100000000000001004f006c00650000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000201ffffffffffffffffffffffff00000000000000000000000000000000000000000000000000000000
|
||||
0000000000000000000000001400000000000000030045005000520049004e0054000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100002010100000004000000ffffffff0000000000000000000000000000000000000000000000000000
|
||||
000000000000000000000800000060070400000000005000610063006b00610067006500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000200ffffffffffffffffffffffff000000000000000000000000000000000000000000000000
|
||||
0000000000000000000000000c0200004474000000000000feffffff02000000fefffffffeffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff
|
||||
000000000000000000000800000060070400000000005000610063006b00610067006500000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000201ffffffffffffffffffffffff000000000000000000000000000000000000000000000000
|
||||
0000000000000000000000000c0200004474000000000000feffffff02000000fefffffffefffffffeffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff
|
||||
ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff
|
||||
ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff
|
||||
ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff
|
||||
ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff010000020800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100feff030a0000ffffffff151a020000000000c0000000000000461800
|
||||
00004d6963726f736f667420566973696f2044726177696e670012000000566973696f2031352e30205368617065730011000000566973696f2e44726177696e672e313500f439b27100000000000000000000000000000000000000000000000000000003000d0000000000000000000000000000000000000000000000
|
||||
000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
000000000000000000000000000000000000000000000000000000000000000000000000000000000400000001000000ffffffff0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
000000000000000000000000000000000000000000000000000000000000000000000000000000008100000082000000830000008400000085000000860000008700000088000000890000008a0000008b0000008c0000008d0000008e0000008f0000009000000091000000920000009300000094000000950000009600
|
||||
00009700000098000000990000009a0000009b0000009c0000009d0000009e0000009f000000a0000000a1000000a2000000a3000000a4000000a5000000a6000000a7000000a8000000a9000000aa000000ab000000ac000000ad000000ae000000af000000b0000000b1000000b2000000b3000000b4000000b5000000
|
||||
@ -31767,12 +31768,12 @@ bf87fc9c0100004103000011000801646f6350726f70732f636f72652e786d6c20a2040128a00001
|
||||
000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000010043006f006d0070004f0062006a00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000120002010300000005000000ffffffff000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
01000000730000000000000003004f0062006a0049006e0066006f0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000012000200ffffffffffffffffffffffff00000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000300000006000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ffffffffffffffffffffffff0000000000000000000000000000000000000000000000000000000000000000
|
||||
000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ffffffffffffffffffffffff000000000000000000000000000000000000000000000000000000000000
|
||||
0000000000000000000000000000000000000105000000000000}{\result {\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid8277287 {\*\shppict{\pict{\*\picprop\shplid1033{\sp{\sn shapeType}{\sv 75}}{\sp{\sn fFlipH}{\sv 0}}
|
||||
{\sp{\sn fFlipV}{\sv 0}}{\sp{\sn fLine}{\sv 0}}{\sp{\sn fLayoutInCell}{\sv 1}}}\picscalex68\picscaley68\piccropl0\piccropr0\piccropt0\piccropb0
|
||||
00000000000000000000010043006f006d0070004f0062006a00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000120002000300000005000000ffffffff000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
01000000730000000000000003004f0062006a0049006e0066006f0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000012000201ffffffff06000000ffffffff00000000000000000000000000000000000000000000000000000000000000000000
|
||||
000003000000060000000000000002004f006c006500500072006500730030003000300000000000000000000000000000000000000000000000000000000000000000000000000000000000000018000200ffffffffffffffffffffffff0000000000000000000000000000000000000000000000000000000000000000
|
||||
000000000400000024000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ffffffffffffffffffffffff000000000000000000000000000000000000000000000000000000000000
|
||||
0000000000000000000000000000000000000105000000000000}{\result {\rtlch\fcs1 \af0 \ltrch\fcs0 \insrsid8277287 {\*\shppict{\pict{\*\picprop\shplid1031{\sp{\sn shapeType}{\sv 75}}{\sp{\sn fFlipH}{\sv 0}}
|
||||
{\sp{\sn fFlipV}{\sv 0}}{\sp{\sn fLine}{\sv 0}}{\sp{\sn fLayoutInCell}{\sv 1}}{\sp{\sn fLayoutInCell}{\sv 1}}}\picscalex68\picscaley68\piccropl0\piccropr0\piccropt0\piccropb0
|
||||
\picw28233\pich11194\picwgoal16006\pichgoal6346\emfblip\bliptag-2143914141{\*\blipuid 803677631065cf303ebfef00cc155817}010000006c0000000700000000000000f9030000a30100000000000000000000aa7000009d2c000020454d460000010060070400a51500000300000000000000
|
||||
000000000000000080070000b0040000070200004401000000000000000000000000000058eb0700a0f10400460000002c00000020000000454d462b01400100
|
||||
1c000000100000000210c0db010000006000000060000000460000009000000084000000454d462b3040020010000000040000000000803f2a40000024000000
|
||||
@ -35899,7 +35900,7 @@ feffffff50cc13014870f6760000000010e5c31610e5c31610e5c31600001301f0d9c31600000000
|
||||
4c0000006400000025000000000000009f030000a201000025000000000000007b030000a30100002900aa0000000000000000000000803f0000000000000000
|
||||
0000803f0000000000000000000000000000000000000000000000000000000000000000220000000c000000ffffffff460000001c00000010000000454d462b024000000c000000000000000e00000014000000000000001000000014000000}}{\nonshppict
|
||||
{\pict\picscalex68\picscaley68\piccropl0\piccropr0\piccropt0\piccropb0\picw28231\pich11192\picwgoal16005\pichgoal6345\wmetafile8\bliptag-2143914141\blipupi96{\*\blipuid 803677631065cf303ebfef00cc155817}
|
||||
010009000003395c020007001610000000001610000026060f002220574d4643010000000000010055f700000000210000000020000060e70300600704000100
|
||||
010009000003395c020007001610000000001610000026060f002220574d46430100000000000100b9f700000000210000000020000060e70300600704000100
|
||||
00006c0000000700000000000000f9030000a30100000000000000000000aa7000009d2c000020454d460000010060070400a515000003000000000000000000
|
||||
00000000000080070000b0040000070200004401000000000000000000000000000058eb0700a0f10400460000002c00000020000000454d462b014001001c00
|
||||
0000100000000210c0db010000006000000060000000460000009000000084000000454d462b3040020010000000040000000000803f2a400000240000001800
|
||||
@ -40057,7 +40058,7 @@ c0db00000000000000ff0840020334000000280000000210c0db03000000000000006a031d3d6a03
|
||||
00002d010200040000002d01030004000000f001040008000000fa0200000000000000000000040000002d010400040000002d0105000a000000250303005703
|
||||
13015b030f0157030c01040000002d010200040000002d01030004000000f0010400040000002701ffff030000001e00040000002c010000070000001604a801
|
||||
2c04000000001c000000fb02f3ff0000000000009001000000020400051253796d626f6c003f013f000000003f3f3f00013f3f6514003f653f653f653f3f0400
|
||||
00002d010400040000002e01180004000000020101000500000009020000000209000000320a0d014703010000006f0008001c000000fb021000070000000000
|
||||
00002d010400040000002e01180004000000020101000500000009020000000209000000320a0d01470301000000650008001c000000fb021000070000000000
|
||||
bc02000000000102022253797374656d0000000000000000000000000000000000000000000000000000040000002d01060004000000f0010400040000002701
|
||||
ffff030000001e00040000002c010000070000001604a8012c04000000001c000000fb02f3ff00000000000090010000000004000522417269616c00003f013f
|
||||
000000003f3f3f00013f3f6514003f653f653f653f3f040000002d010400040000002e01180004000000020101000500000009020000000209000000320a0d01
|
||||
@ -40085,7 +40086,7 @@ cf020f01040000002d010200040000002d01030004000000f001040008000000fa02000001000000
|
||||
030004000000f001040008000000fa0200000000000000000000040000002d010400040000002d0105000a000000250303004f030c01530308014f0304010400
|
||||
00002d010200040000002d01030004000000f0010400040000002701ffff030000001e00040000002c010000070000001604a8012c04000000001c000000fb02
|
||||
f3ff0000000000009001000000020400051253796d626f6c003f013f000000003f3f3f003f3f3f3f3f3f18003f6518003f3f040000002d010400040000002e01
|
||||
180004000000020101000500000009020000000209000000320a06013f030100000075000800040000002d01060004000000f0010400040000002701ffff0300
|
||||
180004000000020101000500000009020000000209000000320a06013f03010000005f000800040000002d01060004000000f0010400040000002701ffff0300
|
||||
00001e00040000002c010000070000001604a8012c04000000001c000000fb02f3ff00000000000090010000000004000522417269616c00003f013f00000000
|
||||
3f3f3f003f3f3f3f3f3f18003f6518003f3f040000002d010400040000002e01180004000000020101000500000009020000000209000000320a060147030100
|
||||
000074000400040000002d01060004000000f0010400040000002701ffff030000001e0008000000fa0200000100000000000000040000002d01040004000000
|
||||
@ -40098,7 +40099,7 @@ f3ff0000000000009001000000020400051253796d626f6c003f013f000000003f3f3f003f3f3f3f
|
||||
330300014c030001040000002d010200040000002d01030004000000f001040008000000fa0200000000000000000000040000002d010400040000002d010500
|
||||
0a00000025030300480304014c0300014803fd00040000002d010200040000002d01030004000000f0010400040000002701ffff030000001e00040000002c01
|
||||
0000070000001604a8012c04000000001c000000fb02f3ff0000000000009001000000020400051253796d626f6c003f013f000000003f3f3f003f3f3f3f3f3f
|
||||
18003f6518003f3f040000002d010400040000002e01180004000000020101000500000009020000000209000000320afe003803010000005f00080004000000
|
||||
18003f6518003f3f040000002d010400040000002e01180004000000020101000500000009020000000209000000320afe003803010000003200080004000000
|
||||
2d01060004000000f0010400040000002701ffff030000001e00040000002c010000070000001604a8012c04000000001c000000fb02f3ff0000000000009001
|
||||
0000000004000522417269616c00003f013f000000003f3f3f003f3f3f3f3f3f18003f6518003f3f040000002d010400040000002e0118000400000002010100
|
||||
0500000009020000000209000000320afe0040030100000074000400040000002d01060004000000f0010400040000002701ffff030000001e0008000000fa02
|
||||
@ -40112,7 +40113,7 @@ e60023031501040000002d010200040000002d01030004000000f001040008000000fa0200000100
|
||||
000000000000040000002d010400040000002d0105000a000000250303004003fc004403f9004003f500040000002d010200040000002d01030004000000f001
|
||||
0400040000002701ffff030000001e00040000002c010000070000001604a8012c04000000001c000000fb02f3ff000000000000900100000002040005125379
|
||||
6d626f6c003f013f000000003f3f3f003f3f3f3f3f3f18003f6518003f3f040000002d010400040000002e011800040000000201010005000000090200000002
|
||||
09000000320af70030030100000031000800040000002d01060004000000f0010400040000002701ffff030000001e00040000002c010000070000001604a801
|
||||
09000000320af70030030100000028000800040000002d01060004000000f0010400040000002701ffff030000001e00040000002c010000070000001604a801
|
||||
2c04000000001c000000fb02f3ff00000000000090010000000004000522417269616c00003f013f000000003f3f3f003f3f3f3f3f3f18003f6518003f3f0400
|
||||
00002d010400040000002e01180004000000020101000500000009020000000209000000320af70038030100000074000400040000002d01060004000000f001
|
||||
0400040000002701ffff030000001e0008000000fa0200000100000000000000040000002d010400040000002d0105000a000000250303005003f90050030c01
|
||||
@ -40313,7 +40314,7 @@ eb00d002e800cc02e400040000002d010200040000002d01030004000000f0010400040000002701
|
||||
030004000000f001040008000000fa0200000000000000000000040000002d010400040000002d0105000a000000250303003903f6003c03f2003903ee000400
|
||||
00002d010200040000002d01030004000000f0010400040000002701ffff030000001e00040000002c010000070000001604a8012c04000000001c000000fb02
|
||||
f3ff0000000000009001000000020400051253796d626f6c003f3f3f00003f3f3f6500003f3f3f3f3f3f18003f6518003f3f040000002d010400040000002e01
|
||||
180004000000020101000500000009020000000209000000320af0002903010000006d000800040000002d01060004000000f0010400040000002701ffff0300
|
||||
180004000000020101000500000009020000000209000000320af0002903010000005f000800040000002d01060004000000f0010400040000002701ffff0300
|
||||
00001e00040000002c010000070000001604a8012c04000000001c000000fb02f3ff00000000000090010000000004000522417269616c00003f3f3f00003f3f
|
||||
3f6500003f3f3f3f3f3f18003f6518003f3f040000002d010400040000002e01180004000000020101000500000009020000000209000000320af00031030100
|
||||
000074000400040000002d01060004000000f0010400040000002701ffff030000001e0008000000fa0200000100000000000000040000002d01040004000000
|
||||
@ -40856,10 +40857,10 @@ fffffffffffffffffdffffff04000000feffffff05000000fefffffffeffffffffffffffffffffff
|
||||
ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff
|
||||
ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff
|
||||
ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff
|
||||
ffffffffffffffffffffffffffffffff52006f006f007400200045006e00740072007900000000000000000000000000000000000000000000000000000000000000000000000000000000000000000016000500ffffffffffffffff010000000c6ad98892f1d411a65f0040963251e5000000000000000000000000c0ea
|
||||
24a7baa6d50103000000c0020000000000004d0073006f004400610074006100530074006f0072006500000000000000000000000000000000000000000000000000000000000000000000000000000000001a000101ffffffffffffffff020000000000000000000000000000000000000000000000c0ea24a7baa6d501
|
||||
c0ea24a7baa6d501000000000000000000000000de004800d30058004c00d800d8004500d200d400470046005500420033004d00d200c600d4005700c100d0003d003d000000000000000000000000000000000032000101ffffffffffffffff030000000000000000000000000000000000000000000000c0ea24a7baa6
|
||||
d501c0ea24a7baa6d5010000000000000000000000004900740065006d0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000201ffffffff04000000ffffffff000000000000000000000000000000000000000000000000
|
||||
ffffffffffffffffffffffffffffffff52006f006f007400200045006e00740072007900000000000000000000000000000000000000000000000000000000000000000000000000000000000000000016000500ffffffffffffffff010000000c6ad98892f1d411a65f0040963251e5000000000000000000000000d0f9
|
||||
27aab5d1d50103000000c0020000000000004d0073006f004400610074006100530074006f0072006500000000000000000000000000000000000000000000000000000000000000000000000000000000001a000101ffffffffffffffff020000000000000000000000000000000000000000000000d0f927aab5d1d501
|
||||
d0f927aab5d1d501000000000000000000000000cd00cb005600c7004e00cd0053005600c200d400c20049005800c500de00ce004c004e0041004b00d30051003d003d000000000000000000000000000000000032000101ffffffffffffffff030000000000000000000000000000000000000000000000d0f927aab5d1
|
||||
d501d0f927aab5d1d5010000000000000000000000004900740065006d0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000a000201ffffffff04000000ffffffff000000000000000000000000000000000000000000000000
|
||||
000000000000000000000000000000000e0100000000000001000000020000000300000004000000feffffff060000000700000008000000090000000a000000feffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff
|
||||
ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff
|
||||
ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff
|
||||
@ -40867,7 +40868,7 @@ ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff
|
||||
ffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff3c3f786d6c2076657273696f6e3d22312e302220656e636f64696e673d225554462d3822207374616e64616c6f6e653d226e6f223f3e3c623a536f75726365732053656c65637465645374796c653d225c4150412e58534c22205374796c
|
||||
654e616d653d224150412220786d6c6e733a623d22687474703a2f2f736368656d61732e6f70656e786d6c666f726d6174732e6f72672f6f6666696365446f63756d656e742f323030362f6269626c696f6772617068792220786d6c6e733d22687474703a2f2f736368656d61732e6f70656e786d6c666f726d6174732e
|
||||
6f72672f6f6666696365446f63756d656e742f323030362f6269626c696f677261706879223e3c2f623a536f75726365733e00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000003c3f786d6c2076657273696f6e3d22312e302220656e636f6469
|
||||
6e673d225554462d3822207374616e64616c6f6e653d226e6f223f3e0d0a3c64733a6461746173746f72654974656d2064733a6974656d49443d227b32464437374346382d303438452d343143422d383535302d3137344343413644313638377d2220786d6c6e733a64733d22687474703a2f2f736368656d61732e6f70
|
||||
6e673d225554462d3822207374616e64616c6f6e653d226e6f223f3e0d0a3c64733a6461746173746f72654974656d2064733a6974656d49443d227b33363637423542362d393544342d343838422d383835452d3546414532434430304143447d2220786d6c6e733a64733d22687474703a2f2f736368656d61732e6f70
|
||||
656e786d6c666f726d6174732e6f72672f6f6666696365446f63756d656e742f323030362f637573500072006f007000650072007400690065007300000000000000000000000000000000000000000000000000000000000000000000000000000000000000000016000200ffffffffffffffffffffffff000000000000
|
||||
0000000000000000000000000000000000000000000000000000000000000500000055010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ffffffffffffffffffffffff00000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000ffffffffffffffffffffffff0000
|
||||
|
@ -4,6 +4,7 @@
|
||||
-- Project: evr320
|
||||
-- Authors: Jonas Purtschert
|
||||
-- Description: Filter a specific data field from data buffer stream of the decoder:
|
||||
-- Modif: Benoit Stef -> ADDRES is set as an input and not anymore as generic
|
||||
------------------------------------------------------------------------------
|
||||
library ieee;
|
||||
use ieee.std_logic_1164.all;
|
||||
@ -14,7 +15,7 @@ use ieee.std_logic_1164.all;
|
||||
|
||||
entity evr320_data_filter is
|
||||
generic (
|
||||
ADDRESS : std_logic_vector(11 downto 0);
|
||||
--ADDRESS : std_logic_vector(11 downto 0);
|
||||
NUM_BYTES : integer := 8
|
||||
);
|
||||
port (
|
||||
@ -23,6 +24,7 @@ entity evr320_data_filter is
|
||||
i_stream_data : in std_logic_vector(7 downto 0);
|
||||
i_stream_addr : in std_logic_vector(10 downto 0);
|
||||
i_stream_valid : in std_logic;
|
||||
i_address : in std_logic_vector(11 downto 0);
|
||||
-- filter output:
|
||||
o_data : out std_logic_vector(NUM_BYTES*8-1 downto 0) := (others=>'0');
|
||||
o_valid : out std_logic := '0'
|
||||
@ -48,7 +50,7 @@ begin
|
||||
addr := i_stream_addr;
|
||||
data := i_stream_data;
|
||||
|
||||
if (addr = ADDRESS(10 downto 0) or match = '1') then
|
||||
if (addr = i_address(10 downto 0) or match = '1') then
|
||||
match <= '1';
|
||||
if (shift_cnt < NUM_BYTES) then
|
||||
data_shift <= data_shift((data_shift'high - data'length) downto 0) & data;
|
||||
|
Reference in New Issue
Block a user