Amendment: removed 'evr_params.cs_timeout_cnt' assignment
This parameter is a local enhancement and not present on the master branch. Also: two small changes which address GHDL issues.
This commit is contained in:
@ -180,6 +180,7 @@ architecture behavioral of evr320_decoder is
|
||||
signal mem_data_wren : std_logic := '0';
|
||||
signal mem_data_wr_addr : std_logic_vector(10 downto 0) := (others => '0');
|
||||
signal mem_data_wr_byte : std_logic_vector( 7 downto 0) := (others => '0');
|
||||
signal mem_data : std_logic_vector(11+8-1 downto 0);
|
||||
-- Data memory read
|
||||
signal mem_data_dpram : std_logic_vector(MEM_DATA_WIDTH - 1 downto 0) := (others => '0');
|
||||
signal mem_data_event0 : std_logic_vector(MEM_DATA_WIDTH - 1 downto 0) := (others => '0');
|
||||
@ -709,6 +710,9 @@ begin
|
||||
mem_data_wr_addr <= frame_data_rd_addr;
|
||||
mem_data_wr_byte <= frame_data_rd_byte;
|
||||
|
||||
-- concatenate - this avoids an internal error in ghdl v0.37!
|
||||
mem_data <= mem_data_wr_addr & mem_data_wr_byte;
|
||||
|
||||
-----------------------------------------------------------------------------
|
||||
-- Address delay for read data mux
|
||||
-----------------------------------------------------------------------------
|
||||
@ -870,7 +874,7 @@ begin
|
||||
OutRst => '0',
|
||||
|
||||
-- Input Data
|
||||
InData => mem_data_wr_addr & mem_data_wr_byte,
|
||||
InData => mem_data,
|
||||
InVld => mem_data_wren,
|
||||
InRdy => open,
|
||||
|
||||
|
@ -110,8 +110,8 @@ architecture rtl of evr320_tmem is
|
||||
signal lat_arm_edge : std_logic_vector(1 downto 0) := (others=>'0');
|
||||
|
||||
-- event pulse config
|
||||
signal evr_puls_width_cfg_s : typ_arr_width :=((others => UsrEventWidthDefault_c));
|
||||
signal evr_puls_delay_cfg_s : typ_arr_delay :=((others => (others => '0')));
|
||||
signal evr_puls_width_cfg_s : typ_arr_width :=(others => UsrEventWidthDefault_c);
|
||||
signal evr_puls_delay_cfg_s : typ_arr_delay :=(others => (others => '0'));
|
||||
|
||||
-- ----------------------------------------------------------------------------
|
||||
-- ----------------------------------------------------------------------------
|
||||
|
@ -431,7 +431,6 @@ begin
|
||||
event_recorder_ctrl.event_number <= std_logic_vector(to_unsigned(g_EVENT_NR_SOS, 8));
|
||||
evr_params.cs_min_cnt <= X"00000000";
|
||||
evr_params.cs_min_time <= X"00000000";
|
||||
evr_params.cs_timeout_cnt <= X"00000000";
|
||||
mem_addr <= x"000";
|
||||
await_value(rxlos, '0', 0 ns, 10 us, FAILURE, "wait for release RX LOS");
|
||||
--wait until (rxlos = '0');
|
||||
|
Reference in New Issue
Block a user