FIX: typo introduced in rebase

This commit is contained in:
2020-12-02 15:04:20 +01:00
parent 26cc7548a3
commit 34b221f62a

View File

@ -103,7 +103,7 @@ architecture rtl of evr320_tmem is
-- latency measurement
signal lat_counter_arm : std_logic := '0';
signal lat_event_nr : std_logic_vector(7 downto 0) := c_SOS_EVENT_DEFAULT
signal lat_event_nr : std_logic_vector(7 downto 0) := c_SOS_EVENT_DEFAULT;
signal lat_counter_val : std_logic_vector(31 downto 0) := (others=>'0');
signal lat_event_detected : std_logic_vector(7 downto 0);
signal lat_arm : std_logic := '0';