added decoder streaming output and UVVM simulation
This commit is contained in:
@ -18,9 +18,9 @@ use ieee.std_logic_misc.all;
|
||||
library unisim;
|
||||
use unisim.vcomponents.all;
|
||||
|
||||
library work;
|
||||
use work.evr320_pkg.all;
|
||||
|
||||
|
||||
entity evr320_decoder is
|
||||
generic
|
||||
(
|
||||
@ -51,6 +51,12 @@ entity evr320_decoder is
|
||||
i_mem_addr : in std_logic_vector(11 downto 0);
|
||||
o_mem_data : out std_logic_vector(MEM_DATA_WIDTH - 1 downto 0);
|
||||
--------------------------------------------------------------------------
|
||||
-- User stream interface User clock
|
||||
--------------------------------------------------------------------------
|
||||
i_stream_clk : in std_logic;
|
||||
o_stream_data : out std_logic_vector(11+8-1 downto 0); -- | byte-address (12bit) | data buffer (8bit) |
|
||||
o_stream_valid : out std_logic;
|
||||
--------------------------------------------------------------------------
|
||||
-- User interface MGT clock
|
||||
--------------------------------------------------------------------------
|
||||
o_usr_events : out std_logic_vector( 3 downto 0);
|
||||
@ -220,7 +226,7 @@ begin
|
||||
debug_clk <= i_mgt_rx_clk;
|
||||
debug( 15 downto 0) <= i_mgt_rx_data;
|
||||
debug( 17 downto 16) <= i_mgt_rx_charisk;
|
||||
debug( 18) <= '0';
|
||||
debug( 23 downto 18) <= (others=>'0');
|
||||
debug( 31 downto 24) <= (others => '0');
|
||||
debug( 35 downto 32) <= "0001" when (frame_fsm = frame_idle ) else
|
||||
"0010" when (frame_fsm = frame_addr_gap) else
|
||||
@ -826,7 +832,52 @@ begin
|
||||
dob => mem_data_event3
|
||||
);
|
||||
|
||||
|
||||
-------------------------------------------------------------------------
|
||||
-- async fifo for streaming interface
|
||||
-------------------------------------------------------------------------
|
||||
strm_fifo_inst : entity work.psi_common_async_fifo
|
||||
generic map (
|
||||
Width_g => 11+8,
|
||||
Depth_g => 2048,
|
||||
AlmFullOn_g => false,
|
||||
AlmFullLevel_g => 2,
|
||||
AlmEmptyOn_g => false,
|
||||
AlmEmptyLevel_g => 2,
|
||||
RamStyle_g => "WBR",
|
||||
RamBehavior_g => "block" -- auto, distributed
|
||||
)
|
||||
port map (
|
||||
-- Control Ports
|
||||
InClk => i_mgt_rx_clk,
|
||||
InRst => i_mgt_rst,
|
||||
OutClk => i_stream_clk,
|
||||
OutRst => '0',
|
||||
|
||||
-- Input Data
|
||||
InData => mem_data_wr_addr & mem_data_wr_byte,
|
||||
InVld => mem_data_wren,
|
||||
InRdy => open,
|
||||
|
||||
-- Output Data
|
||||
OutData => o_stream_data,
|
||||
OutVld => o_stream_valid,
|
||||
OutRdy => '1',
|
||||
|
||||
-- Input Status
|
||||
InFull => open,
|
||||
InEmpty => open,
|
||||
InAlmFull => open,
|
||||
InAlmEmpty => open,
|
||||
InLevel => open,
|
||||
|
||||
-- Output Status
|
||||
OutFull => open,
|
||||
OutEmpty => open,
|
||||
OutAlmFull => open,
|
||||
OutAlmEmpty => open,
|
||||
OutLevel => open
|
||||
);
|
||||
|
||||
-----------------------------------------------------------------------------
|
||||
-----------------------------------------------------------------------------
|
||||
-- EVENT RECORDER
|
||||
@ -1081,7 +1132,6 @@ begin
|
||||
dob => mem_data_event_nr
|
||||
);
|
||||
|
||||
|
||||
--------------------------------------------------------------------------
|
||||
-- Event Flags of all Events
|
||||
--------------------------------------------------------------------------
|
||||
@ -1127,4 +1177,4 @@ end behavioral;
|
||||
|
||||
--------------------------------------------------------------------------------
|
||||
-- End of file
|
||||
--------------------------------------------------------------------------------
|
||||
--------------------------------------------------------------------------------
|
||||
|
@ -38,6 +38,16 @@ add_sources $LibPath/Firmware/VHDL/evr320/hdl {
|
||||
evr320_ifc1210_wrapper.vhd \
|
||||
} -tag evr320_ifc1210
|
||||
|
||||
# psi_common dependency:
|
||||
add_sources $LibPath/Firmware/VHDL/psi_common/hdl {
|
||||
psi_common_array_pkg.vhd \
|
||||
psi_common_math_pkg.vhd \
|
||||
psi_common_logic_pkg.vhd \
|
||||
psi_common_sdp_ram.vhd \
|
||||
psi_common_pulse_cc.vhd \
|
||||
psi_common_async_fifo.vhd \
|
||||
} -tag psi_common
|
||||
|
||||
# tosca2_glb_pkg dependency
|
||||
add_library tosca2
|
||||
|
||||
|
19
sim/run.tcl
19
sim/run.tcl
@ -1,9 +1,21 @@
|
||||
# Library Path
|
||||
set LibPath "../../../.."
|
||||
|
||||
pwd
|
||||
vlib work
|
||||
vmap work work
|
||||
# Compile UVVM library (if necessary):
|
||||
# -------------------------------------------------------
|
||||
set uvvm_lib $LibPath/Firmware/VHDL/UVVM/uvvm_util/sim/uvvm_util/
|
||||
# compile lib if folder not exist:
|
||||
#if {![file isdirectory $uvvm_lib]} {
|
||||
# copy adapted pkg:
|
||||
file copy -force ../tb/adaptations_pkg.vhd $LibPath/Firmware/VHDL/UVVM/uvvm_util/src/
|
||||
set last_dir [pwd]
|
||||
cd $LibPath/Firmware/VHDL/UVVM/uvvm_util/script/
|
||||
do compile_src.do
|
||||
cd $last_dir
|
||||
#}
|
||||
vmap uvvm_util $LibPath/Firmware/VHDL/UVVM/uvvm_util/sim/uvvm_util/
|
||||
# -------------------------------------------------------
|
||||
|
||||
|
||||
# Check if running in jenkins environment
|
||||
if [info exists env(JENKINS_HOME)] {
|
||||
@ -40,6 +52,7 @@ puts "------------------------------"
|
||||
puts "-- Compile"
|
||||
puts "------------------------------"
|
||||
clean_libraries -all
|
||||
compile_files -tag psi_common
|
||||
compile_files -tag evr320_decoder
|
||||
compile_files -tag evr320_decoder_tb
|
||||
#compile_files -lib tosca2
|
||||
|
290
tb/adaptations_pkg.vhd
Normal file
290
tb/adaptations_pkg.vhd
Normal file
@ -0,0 +1,290 @@
|
||||
--========================================================================================================================
|
||||
-- Copyright (c) 2017 by Bitvis AS. All rights reserved.
|
||||
-- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not,
|
||||
-- contact Bitvis AS <support@bitvis.no>.
|
||||
--
|
||||
-- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE
|
||||
-- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS
|
||||
-- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR
|
||||
-- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM.
|
||||
--========================================================================================================================
|
||||
|
||||
------------------------------------------------------------------------------------------
|
||||
-- Description : See library quick reference (under 'doc') and README-file(s)
|
||||
------------------------------------------------------------------------------------------
|
||||
|
||||
library IEEE;
|
||||
use IEEE.std_logic_1164.all;
|
||||
use IEEE.numeric_std.all;
|
||||
use std.textio.all;
|
||||
|
||||
|
||||
use work.types_pkg.all;
|
||||
|
||||
package adaptations_pkg is
|
||||
constant C_ALERT_FILE_NAME : string := "_Alert.txt";
|
||||
constant C_LOG_FILE_NAME : string := "_Log.txt";
|
||||
|
||||
constant C_SHOW_UVVM_UTILITY_LIBRARY_INFO : boolean := false; -- Set this to false when you no longer need the initial info
|
||||
constant C_SHOW_UVVM_UTILITY_LIBRARY_RELEASE_INFO : boolean := false; -- Set this to false when you no longer need the release info
|
||||
|
||||
-------------------------------------------------------------------------------
|
||||
-- Log format
|
||||
-------------------------------------------------------------------------------
|
||||
--UVVM: [<ID>] <time> <Scope> Msg
|
||||
--PPPPPPPPIIIIII TTTTTTTT SSSSSSSSSSSSSS MMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMMM
|
||||
constant C_LOG_PREFIX : string := "UVVM: "; -- Note: ': ' is recommended as final characters
|
||||
|
||||
constant C_LOG_PREFIX_WIDTH : natural := C_LOG_PREFIX'length;
|
||||
constant C_LOG_MSG_ID_WIDTH : natural := 18;
|
||||
constant C_LOG_TIME_WIDTH : natural := 14; -- 3 chars used for unit eg. " ns"
|
||||
constant C_LOG_TIME_BASE : time := ns; -- Unit in which time is shown in log (ns | ps)
|
||||
constant C_LOG_TIME_DECIMALS : natural := 1; -- Decimals to show for given C_LOG_TIME_BASE
|
||||
constant C_LOG_SCOPE_WIDTH : natural := 16;
|
||||
constant C_LOG_LINE_WIDTH : natural := 200;
|
||||
constant C_LOG_INFO_WIDTH : natural := C_LOG_LINE_WIDTH - C_LOG_PREFIX_WIDTH;
|
||||
|
||||
constant C_USE_BACKSLASH_N_AS_LF : boolean := true; -- If true interprets '\n' as Line feed
|
||||
constant C_USE_BACKSLASH_R_AS_LF : boolean := true; -- If true, inserts an empty line if '\r'
|
||||
-- is the first character of the string.
|
||||
-- All others '\r' will be printed as is.
|
||||
|
||||
constant C_SINGLE_LINE_ALERT : boolean := false; -- If true prints alerts on a single line.
|
||||
constant C_SINGLE_LINE_LOG : boolean := false; -- If true prints log messages on a single line.
|
||||
|
||||
constant C_TB_SCOPE_DEFAULT : string := "TB_SCOPE"; -- Default scope in test sequencer
|
||||
|
||||
constant C_LOG_TIME_TRUNC_WARNING : boolean := false; -- Yields a single TB_WARNING if time stamp truncated. Otherwise none
|
||||
constant C_SHOW_LOG_ID : boolean := true; -- This constant has replaced the global_show_log_id
|
||||
constant C_SHOW_LOG_SCOPE : boolean := true; -- This constant has replaced the global_show_log_scope
|
||||
|
||||
constant C_WARNING_ON_LOG_ALERT_FILE_RUNTIME_RENAME : boolean := false;
|
||||
|
||||
constant C_USE_STD_STOP_ON_ALERT_STOP_LIMIT : boolean := true; -- true: break using std.env.stop, false: break using failure
|
||||
|
||||
shared variable shared_default_log_destination : t_log_destination := CONSOLE_AND_LOG;
|
||||
|
||||
|
||||
-------------------------------------------------------------------------------
|
||||
-- Verbosity control
|
||||
-- NOTE: Do not enter new IDs without proper evaluation:
|
||||
-- 1. Is it - or could it be covered by an existing ID
|
||||
-- 2. Could it be combined with other needs for a more general new ID
|
||||
-- Feel free to suggest new ID for future versions of UVVM Utility Library (support@bitvis.no)
|
||||
-------------------------------------------------------------------------------
|
||||
type t_msg_id is (
|
||||
-- Bitvis utility methods
|
||||
NO_ID, -- Used as default prior to setting actual ID when transfering ID as a field in a record
|
||||
ID_UTIL_BURIED, -- Used for buried log messages where msg and scope cannot be modified from outside
|
||||
ID_BITVIS_DEBUG, -- Bitvis internal ID used for UVVM debugging
|
||||
ID_UTIL_SETUP, -- Used for Utility setup
|
||||
ID_LOG_MSG_CTRL, -- Used inside Utility library only - when enabling/disabling msg IDs.
|
||||
ID_ALERT_CTRL, -- Used inside Utility library only - when setting IGNORE or REGARD on various alerts.
|
||||
ID_NEVER, -- Used for avoiding log entry. Cannot be enabled.
|
||||
ID_FINISH_OR_STOP, -- Used when terminating the complete simulation - independent of why
|
||||
ID_CLOCK_GEN, -- Used for logging when clock generators are enabled or disabled
|
||||
ID_GEN_PULSE, -- Used for logging when a gen_pulse procedure starts pulsing a signal
|
||||
ID_BLOCKING, -- Used for logging when using synchronisation flags
|
||||
-- General
|
||||
ID_POS_ACK, -- To write a positive acknowledge on a check
|
||||
-- Directly inside test sequencers
|
||||
ID_LOG_HDR, -- ONLY allowed in test sequencer, Log section headers
|
||||
ID_LOG_HDR_LARGE, -- ONLY allowed in test sequencer, Large log section headers
|
||||
ID_LOG_HDR_XL, -- ONLY allowed in test sequencer, Extra large log section headers
|
||||
ID_SEQUENCER, -- ONLY allowed in test sequencer, Normal log (not log headers)
|
||||
ID_SEQUENCER_SUB, -- ONLY allowed in test sequencer, Subprograms defined in sequencer
|
||||
-- BFMs
|
||||
ID_BFM, -- Used inside a BFM (to log BFM access)
|
||||
ID_BFM_WAIT, -- Used inside a BFM to indicate that it is waiting for something (e.g. for ready)
|
||||
ID_BFM_POLL, -- Used inside a BFM when polling until reading a given value. I.e. to show all reads until expected value found (e.g. for sbi_poll_until())
|
||||
ID_BFM_POLL_SUMMARY, -- Used inside a BFM when showing the summary of data that has been received while waiting for expected data.
|
||||
ID_TERMINATE_CMD, -- Typically used inside a loop in a procedure to end the loop (e.g. for sbi_poll_until() or any looped generation of random stimuli
|
||||
-- Packet related data Ids with three levels of granularity, for differentiating between frames, packets and segments.
|
||||
-- Segment Ids, finest granularity of packet data
|
||||
ID_SEGMENT_INITIATE, -- Notify that a packet is about to be transmitted or received
|
||||
ID_SEGMENT_COMPLETE, -- Notify that a packet has been transmitted or received
|
||||
ID_SEGMENT_HDR, -- AS ID_SEGMENT_COMPLETE, but also writes header info
|
||||
ID_SEGMENT_DATA, -- AS ID_SEGMENT_COMPLETE, but also writes packet data (could be huge)
|
||||
-- Packet Ids, medium granularity of packet data
|
||||
ID_PACKET_INITIATE, -- Notify that a packet is about to be transmitted or received
|
||||
ID_PACKET_COMPLETE, -- Notify that a packet has been transmitted or received
|
||||
ID_PACKET_HDR, -- AS ID_PACKET_COMPLETED, but also writes header info
|
||||
ID_PACKET_DATA, -- AS ID_PACKET_COMPLETED, but also writes packet data (could be huge)
|
||||
-- Frame Ids, roughest granularity of packet data
|
||||
ID_FRAME_INITIATE, -- Notify that a packet is about to be transmitted or received
|
||||
ID_FRAME_COMPLETE, -- Notify that a packet has been transmitted or received
|
||||
ID_FRAME_HDR, -- AS ID_FRAME_COMPLETE, but also writes header info
|
||||
ID_FRAME_DATA, -- AS ID_FRAME_COMPLETE, but also writes packet data (could be huge)
|
||||
-- OSVVM Ids
|
||||
ID_COVERAGE_MAKEBIN, -- Log messages from MakeBin (IllegalBin/GenBin/IgnoreBin)
|
||||
ID_COVERAGE_ADDBIN, -- Log messages from AddBin/AddCross
|
||||
ID_COVERAGE_ICOVER, -- ICover logging, NB: Very low level debugging. Can result in large amount of data.
|
||||
ID_COVERAGE_CONFIG, -- Logging of configuration in the coverage package
|
||||
ID_COVERAGE_SUMMARY, -- Report logging : Summary of coverage, with both covered bins and holes
|
||||
ID_COVERAGE_HOLES, -- Report logging : Holes only
|
||||
-- Distributed command systems
|
||||
ID_UVVM_SEND_CMD,
|
||||
ID_UVVM_CMD_ACK,
|
||||
ID_UVVM_CMD_RESULT,
|
||||
ID_CMD_INTERPRETER, -- Message from VVC interpreter about correctly received and queued/issued command
|
||||
ID_CMD_INTERPRETER_WAIT, -- Message from VVC interpreter that it is actively waiting for a command
|
||||
ID_IMMEDIATE_CMD, -- Message from VVC interpreter that an IMMEDIATE command has been executed
|
||||
ID_IMMEDIATE_CMD_WAIT, -- Message from VVC interpreter that an IMMEDIATE command is waiting for command to complete
|
||||
ID_CMD_EXECUTOR, -- Message from VVC executor about correctly received command - prior to actual execution
|
||||
ID_CMD_EXECUTOR_WAIT, -- Message from VVC executor that it is actively waiting for a command
|
||||
ID_INSERTED_DELAY, -- Message from VVC executor that it is waiting a given delay
|
||||
-- Distributed data
|
||||
ID_UVVM_DATA_QUEUE, -- Information about UVVM data FIFO/stack (initialization, put, get, etc)
|
||||
-- VVC system
|
||||
ID_CONSTRUCTOR, -- Constructor message from VVCs (or other components/process when needed)
|
||||
ID_CONSTRUCTOR_SUB, -- Constructor message for lower level constructor messages (like Queue-information and other limitations)
|
||||
-- SB package
|
||||
ID_DATA,
|
||||
ID_CTRL,
|
||||
-- Special purpose - Not really IDs
|
||||
ALL_MESSAGES -- Applies to ALL message ID apart from ID_NEVER
|
||||
);
|
||||
type t_msg_id_panel is array (t_msg_id'left to t_msg_id'right) of t_enabled;
|
||||
|
||||
constant C_TB_MSG_ID_DEFAULT : t_msg_id := ID_SEQUENCER; -- msg ID used when calling the log method without any msg ID switch.
|
||||
|
||||
-- Default message Id panel to be used for all message Id panels, except:
|
||||
-- - VVC message Id panels, see constant C_VVC_MSG_ID_PANEL_DEFAULT
|
||||
constant C_MSG_ID_PANEL_DEFAULT : t_msg_id_panel := (
|
||||
ID_NEVER => DISABLED,
|
||||
ID_UTIL_BURIED => DISABLED,
|
||||
ID_BITVIS_DEBUG => DISABLED,
|
||||
ID_COVERAGE_MAKEBIN => DISABLED,
|
||||
ID_COVERAGE_ADDBIN => DISABLED,
|
||||
ID_COVERAGE_ICOVER => DISABLED,
|
||||
others => ENABLED
|
||||
);
|
||||
|
||||
-- If false, OSVVM uses the default message id panel. If true, it uses a separate message id panel.
|
||||
constant C_USE_LOCAL_OSVVM_MSG_ID_PANELS : boolean := TRUE;
|
||||
|
||||
type t_msg_id_indent is array (t_msg_id'left to t_msg_id'right) of string(1 to 4);
|
||||
constant C_MSG_ID_INDENT : t_msg_id_indent := (
|
||||
ID_IMMEDIATE_CMD_WAIT => " ..",
|
||||
ID_CMD_INTERPRETER => " " & NUL & NUL,
|
||||
ID_CMD_INTERPRETER_WAIT => " ..",
|
||||
ID_CMD_EXECUTOR => " " & NUL & NUL,
|
||||
ID_CMD_EXECUTOR_WAIT => " ..",
|
||||
ID_UVVM_SEND_CMD => "->" & NUL & NUL,
|
||||
ID_UVVM_CMD_ACK => " ",
|
||||
others => "" & NUL & NUL & NUL & NUL
|
||||
);
|
||||
|
||||
constant C_MSG_DELIMITER : character := ''';
|
||||
|
||||
-------------------------------------------------------------------------
|
||||
-- Alert counters
|
||||
-------------------------------------------------------------------------
|
||||
-- Default values. These can be overwritten in each sequencer by using
|
||||
-- set_alert_attention or set_alert_stop_limit (see quick ref).
|
||||
constant C_DEFAULT_ALERT_ATTENTION : t_alert_attention := (others => REGARD);
|
||||
|
||||
-- 0 = Never stop
|
||||
constant C_DEFAULT_STOP_LIMIT : t_alert_counters := (note to manual_check => 0,
|
||||
others => 1);
|
||||
|
||||
-------------------------------------------------------------------------
|
||||
-- Hierarchical alerts
|
||||
-------------------------------------------------------------------------
|
||||
constant C_ENABLE_HIERARCHICAL_ALERTS : boolean := false;
|
||||
constant C_BASE_HIERARCHY_LEVEL : string(1 to 5) := "Total";
|
||||
|
||||
constant C_EMPTY_NODE : t_hierarchy_node := (" ",
|
||||
(others => (others => 0)),
|
||||
(others => 0),
|
||||
(others => true));
|
||||
|
||||
-------------------------------------------------------------------------
|
||||
-- Deprecate
|
||||
-------------------------------------------------------------------------
|
||||
-- These values are used to indicate outdated sub-programs
|
||||
constant C_DEPRECATE_SETTING : t_deprecate_setting := DEPRECATE_ONCE;
|
||||
shared variable deprecated_subprogram_list : t_deprecate_list := (others=>(others => ' '));
|
||||
|
||||
|
||||
------------------------------------------------------------------------
|
||||
-- UVVM VVC Framework adaptations
|
||||
------------------------------------------------------------------------
|
||||
constant C_SCOPE : string := C_TB_SCOPE_DEFAULT & "(uvvm)";
|
||||
|
||||
signal global_show_msg_for_uvvm_cmd : boolean := true;
|
||||
|
||||
constant C_CMD_QUEUE_COUNT_MAX : natural := 20; -- (VVC Command queue) May be overwritten for dedicated VVC
|
||||
constant C_CMD_QUEUE_COUNT_THRESHOLD_SEVERITY : t_alert_level := WARNING;
|
||||
constant C_CMD_QUEUE_COUNT_THRESHOLD : natural := 18;
|
||||
constant C_RESULT_QUEUE_COUNT_MAX : natural := 20; -- (VVC Result queue) May be overwritten for dedicated VVC
|
||||
constant C_RESULT_QUEUE_COUNT_THRESHOLD_SEVERITY : t_alert_level := WARNING;
|
||||
constant C_RESULT_QUEUE_COUNT_THRESHOLD : natural := 18;
|
||||
constant C_MAX_VVC_INSTANCE_NUM : natural := 20;
|
||||
constant C_MAX_NUM_SEQUENCERS : natural := 10; -- Max number of sequencers
|
||||
|
||||
-- Maximum allowed length of VVC names
|
||||
constant C_MAX_VVC_NAME_LENGTH : positive := 20;
|
||||
|
||||
-- Minimum width of vvc name and channel displayed in scope.
|
||||
-- These combined + the length of instance + 2 (commas), cannot exceed C_LOG_SCOPE_WIDTH.
|
||||
constant C_MINIMUM_CHANNEL_SCOPE_WIDTH : natural := 10;
|
||||
constant C_MINIMUM_VVC_NAME_SCOPE_WIDTH : natural := 10;
|
||||
|
||||
constant C_TOTAL_NUMBER_OF_BITS_IN_DATA_BUFFER : natural := 2048;
|
||||
constant C_NUMBER_OF_DATA_BUFFERS : natural := 10;
|
||||
|
||||
-- Default message Id panel intended for use in the VVCs
|
||||
constant C_VVC_MSG_ID_PANEL_DEFAULT : t_msg_id_panel := (
|
||||
ID_NEVER => DISABLED,
|
||||
ID_UTIL_BURIED => DISABLED,
|
||||
others => ENABLED
|
||||
);
|
||||
|
||||
type t_data_source is ( -- May add more types of random ++ later
|
||||
NA,
|
||||
FROM_BUFFER,
|
||||
RANDOM,
|
||||
RANDOM_TO_BUFFER
|
||||
);
|
||||
|
||||
type t_error_injection is ( -- May add more controlled error injection later
|
||||
NA,
|
||||
RANDOM_BIT_ERROR,
|
||||
RANDOM_DATA_ERROR,
|
||||
RANDOM_ADDRESS_ERROR
|
||||
);
|
||||
|
||||
constant C_CMD_IDX_PREFIX : string := " [";
|
||||
constant C_CMD_IDX_SUFFIX : string := "]";
|
||||
|
||||
type t_channel is ( -- NOTE: Add more types of channels when needed for a VVC
|
||||
NA, -- When channel is not relevant
|
||||
ALL_CHANNELS, -- When command shall be received by all channels
|
||||
RX,
|
||||
TX);
|
||||
|
||||
constant C_VVCT_ALL_INSTANCES, ALL_INSTANCES : integer := -2;
|
||||
constant ALL_ENABLED_INSTANCES : integer := -3;
|
||||
|
||||
constant C_NUM_SEMAPHORE_LOCK_TRIES : natural := 500;
|
||||
|
||||
------------------------------------------------------------------------
|
||||
-- Scoreboard adaptations
|
||||
------------------------------------------------------------------------
|
||||
constant C_MAX_QUEUE_INSTANCE_NUM : positive := 100; -- Maximum number of instances
|
||||
constant C_SB_TAG_WIDTH : positive := 128; -- Number of characters in SB tag
|
||||
constant C_SB_SOURCE_WIDTH : positive := 128; -- Number of characters in SB source element
|
||||
constant C_SB_SLV_WIDTH : positive := 8; -- Width of the SLV in the predefined SLV SB
|
||||
|
||||
-- Default message Id panel intended for use in SB
|
||||
constant C_SB_MSG_ID_PANEL_DEFAULT : t_msg_id_panel := (
|
||||
ID_CTRL => ENABLED,
|
||||
ID_DATA => DISABLED,
|
||||
others => DISABLED
|
||||
);
|
||||
|
||||
end package adaptations_pkg;
|
||||
|
||||
package body adaptations_pkg is
|
||||
end package body adaptations_pkg;
|
@ -22,6 +22,9 @@ library std;
|
||||
use std.env.all;
|
||||
use std.textio.all;
|
||||
|
||||
library uvvm_util;
|
||||
context uvvm_util.uvvm_util_context;
|
||||
|
||||
-- Work library (application) --------------------------------------------------
|
||||
library work;
|
||||
use work.evr320_pkg.all;
|
||||
@ -196,7 +199,9 @@ architecture testbench of evr320_decoder_tb is
|
||||
signal evr_params : typ_evr320_params;
|
||||
signal mem_addr : std_logic_vector(11 downto 0) := (others => '0');
|
||||
signal mem_data : std_logic_vector(31 downto 0) := (others => '0');
|
||||
|
||||
signal dec_stream_data : std_logic_vector(11+8-1 downto 0) := (others => '0');
|
||||
signal dec_stream_valid : std_logic;
|
||||
|
||||
signal usr_events : std_logic_vector( 3 downto 0) := (others => '0');
|
||||
|
||||
begin
|
||||
@ -229,6 +234,12 @@ begin
|
||||
i_mem_addr => mem_addr,
|
||||
o_mem_data => mem_data,
|
||||
--------------------------------------------------------------------------
|
||||
-- User stream interface User clock
|
||||
--------------------------------------------------------------------------
|
||||
i_stream_clk => usr_clk,
|
||||
o_stream_data => dec_stream_data,
|
||||
o_stream_valid => dec_stream_valid,
|
||||
--------------------------------------------------------------------------
|
||||
-- User interface MGT clock
|
||||
--------------------------------------------------------------------------
|
||||
o_usr_events => usr_events,
|
||||
@ -237,30 +248,10 @@ begin
|
||||
);
|
||||
|
||||
-----------------------------------------------------------------------------
|
||||
-- MGT clock
|
||||
-- MGT / User clock
|
||||
-----------------------------------------------------------------------------
|
||||
process
|
||||
begin
|
||||
loop
|
||||
rxusrclk <= '0';
|
||||
wait for C_RXUSRCLK_CYCLE / 2;
|
||||
rxusrclk <= '1';
|
||||
wait for C_RXUSRCLK_CYCLE / 2;
|
||||
end loop;
|
||||
end process;
|
||||
|
||||
-----------------------------------------------------------------------------
|
||||
-- User clock
|
||||
-----------------------------------------------------------------------------
|
||||
process
|
||||
begin
|
||||
loop
|
||||
usr_clk <= '0';
|
||||
wait for C_USRCLK_CYCLE / 2;
|
||||
usr_clk <= '1';
|
||||
wait for C_USRCLK_CYCLE / 2;
|
||||
end loop;
|
||||
end process;
|
||||
clock_generator(rxusrclk, C_RXUSRCLK_CYCLE);
|
||||
clock_generator(usr_clk, C_USRCLK_CYCLE);
|
||||
|
||||
-----------------------------------------------------------------------------
|
||||
-- Decoder reset due to MGT main status
|
||||
@ -274,15 +265,31 @@ begin
|
||||
wait ;
|
||||
end process;
|
||||
|
||||
-----------------------------------------------------------------------------
|
||||
-- Stimulus MGT interface
|
||||
-----------------------------------------------------------------------------
|
||||
-- mgt_stream_index_proc: process(rxusrclk)
|
||||
-- begin
|
||||
-- if rising_edge(rxusrclk) then
|
||||
-- mgt_stream_index <= mgt_stream_index + 1;
|
||||
-- end if;
|
||||
-- end process mgt_stream_index_proc;
|
||||
---------------------------------------------------------
|
||||
-- Print decoder stream
|
||||
---------------------------------------------------------
|
||||
process
|
||||
variable addr : std_logic_vector(10 downto 0);
|
||||
variable data : std_logic_vector(7 downto 0);
|
||||
begin
|
||||
wait until rising_edge(usr_clk);
|
||||
if (dec_stream_valid = '1') then
|
||||
addr := dec_stream_data(18 downto 8);
|
||||
data := dec_stream_data(7 downto 0);
|
||||
log(ID_SEGMENT_DATA, "Decoder Data Stream: addr=0x" & to_string(addr, HEX)
|
||||
& " data=0x" & to_string(data, HEX));
|
||||
end if;
|
||||
end process;
|
||||
|
||||
-----------------------------------------------------------------------------
|
||||
-- Stimulus MGT interface
|
||||
-----------------------------------------------------------------------------
|
||||
-- process(rxusrclk)
|
||||
-- begin
|
||||
-- if rising_edge(rxusrclk) then
|
||||
-- mgt_stream_index <= mgt_stream_index + 1;
|
||||
-- end if;
|
||||
-- end process mgt_stream_index_proc;
|
||||
|
||||
rxdata <= mgt_stream(mgt_stream_index).data;
|
||||
rxcharisk <= mgt_stream(mgt_stream_index).charisk;
|
||||
@ -291,47 +298,68 @@ begin
|
||||
-- Stimulus CPU interface
|
||||
-----------------------------------------------------------------------------
|
||||
process
|
||||
constant C_SCOPE : string := C_TB_SCOPE_DEFAULT;
|
||||
constant c_TB_NAME : string := "evr320_decoder_tb";
|
||||
variable mgt_stream_rep_var : integer := 0;
|
||||
variable mgt_stream_index_var : integer := 0;
|
||||
begin
|
||||
--------------------------------------------------------------------------
|
||||
-- Get out of reset
|
||||
--------------------------------------------------------------------------
|
||||
evr_params.event_enable( 0) <= '1';
|
||||
evr_params.event_enable( 1) <= '0';
|
||||
evr_params.event_enable( 2) <= '0';
|
||||
evr_params.event_enable( 3) <= '0';
|
||||
evr_params.event_numbers( 0)<= X"0F";
|
||||
evr_params.event_numbers( 1)<= X"00";
|
||||
evr_params.event_numbers( 2)<= X"00";
|
||||
evr_params.event_numbers( 3)<= X"00";
|
||||
evr_params.cs_min_cnt <= X"00000010";
|
||||
evr_params.cs_min_time <= X"00000100";
|
||||
mem_addr <= x"000";
|
||||
wait until (rxlos = '0');
|
||||
--------------------------------------------------------------------------
|
||||
-- Test receiving a package
|
||||
--------------------------------------------------------------------------
|
||||
for mgt_stream_rep_var in 0 to 10 loop
|
||||
wait for 1 ns;
|
||||
for mgt_stream_index_var in 0 to 127 loop
|
||||
wait until rising_edge(rxusrclk);
|
||||
mgt_stream_index <= mgt_stream_index_var;
|
||||
end loop;
|
||||
end loop;
|
||||
-- init uvvm:
|
||||
set_log_file_name(c_TB_NAME & "_LOG.txt");
|
||||
set_alert_file_name(c_TB_NAME & "_ALERT.txt");
|
||||
set_alert_stop_limit(ERROR, 0); -- never(0) pause simulator on error
|
||||
set_alert_stop_limit(TB_ERROR, 0); -- never(0) pause simulator on error
|
||||
enable_log_msg(ALL_MESSAGES);
|
||||
log(ID_LOG_HDR, "Start Simulation of evr320 decoder", C_SCOPE);
|
||||
|
||||
-- print 16 words from data buffer:
|
||||
for offset in 0 to 16 loop
|
||||
mem_addr <= std_logic_vector(to_unsigned(8 + offset, 12));
|
||||
wait until rising_edge(rxusrclk);
|
||||
wait until rising_edge(rxusrclk);
|
||||
report "dpram: 0x" & to_hstring(mem_addr) & " : 0x" & to_hstring(mem_data);
|
||||
end loop;
|
||||
--------------------------------------------------------------------------
|
||||
-- Get out of reset
|
||||
--------------------------------------------------------------------------
|
||||
evr_params.event_enable( 0) <= '1';
|
||||
evr_params.event_enable( 1) <= '0';
|
||||
evr_params.event_enable( 2) <= '0';
|
||||
evr_params.event_enable( 3) <= '0';
|
||||
evr_params.event_numbers( 0)<= X"0F";
|
||||
evr_params.event_numbers( 1)<= X"00";
|
||||
evr_params.event_numbers( 2)<= X"00";
|
||||
evr_params.event_numbers( 3)<= X"00";
|
||||
evr_params.cs_min_cnt <= X"00000010";
|
||||
evr_params.cs_min_time <= X"00000100";
|
||||
mem_addr <= x"000";
|
||||
await_value(rxlos, '0', 0 ns, 10 us, FAILURE, "wait for release RX LOS");
|
||||
--wait until (rxlos = '0');
|
||||
--------------------------------------------------------------------------
|
||||
-- Test receiving a package
|
||||
--------------------------------------------------------------------------
|
||||
-- send mgt stream:
|
||||
for mgt_stream_rep_var in 0 to 1 loop
|
||||
wait for 30 ns;
|
||||
for mgt_stream_index_var in 0 to 127 loop
|
||||
wait until rising_edge(rxusrclk);
|
||||
mgt_stream_index <= mgt_stream_index_var;
|
||||
end loop;
|
||||
end loop;
|
||||
|
||||
--------------------------------------------------------------------------
|
||||
-- Test Done
|
||||
--------------------------------------------------------------------------
|
||||
stop(0);
|
||||
wait for 1 us;
|
||||
-- print 16 words from dpram data buffer:
|
||||
for offset in 0 to 7 loop
|
||||
mem_addr <= std_logic_vector(to_unsigned(8 + offset, 12));
|
||||
wait until rising_edge(rxusrclk);
|
||||
wait until rising_edge(rxusrclk);
|
||||
wait until rising_edge(rxusrclk);
|
||||
log(ID_PACKET_DATA, "Data buffer DPRAM: addr=0x" & to_string(mem_addr, HEX) & " data=0x" & to_string(mem_data, HEX));
|
||||
end loop;
|
||||
|
||||
--------------------------------------------------------------------------
|
||||
-- Test Done
|
||||
--------------------------------------------------------------------------
|
||||
log(ID_LOG_HDR, "SIMULATION COMPLETED", C_SCOPE);
|
||||
report_alert_counters(VOID);
|
||||
|
||||
assert shared_uvvm_status.found_unexpected_simulation_warnings_or_worse = 0
|
||||
report "UVVM Found unexpected warnings or worse" severity ERROR;
|
||||
|
||||
stop(0);
|
||||
-- finish(0); -- wants to close modelsim!?
|
||||
wait;
|
||||
end process;
|
||||
|
||||
|
Reference in New Issue
Block a user